]> rtime.felk.cvut.cz Git - fpga/virtex2/msp_motion.git/blobdiff - msp_motion.prj
Merge branch 'master' of rtime.felk.cvut.cz:/fpga/virtex2/msp_motion
[fpga/virtex2/msp_motion.git] / msp_motion.prj
index 03a97658058fc04b7e2b14f2393477e8c7b3e421..0dacb3853a28dd9e26b07a878492da5197b8c65a 100644 (file)
@@ -46,7 +46,8 @@ vhdl    work pwm/mcc_master.vhd
 vhdl    work pwm/sequencer.vhd
 vhdl    work pwm/multiplier.vhd
 vhdl    work pwm/vector_scale.vhd
-vhdl    work pwm/pwm_dump.vhd
+vhdl    work pwm/pwm_min.vhd
+vhdl    work pwm/pwm_min_dump.vhd
 vhdl    work pwm/irc_dump.vhd
 vhdl    work pwm/irc_base.vhd
 vhdl    work pwm/mcc.vhd
@@ -61,6 +62,17 @@ vhdl    work quadcount/dff.vhd
 vhdl    work quadcount/qcounter.vhd
 
 
+#==============================================================================#
+# MCU peripherals                                                              #
+#==============================================================================#
+
+vhdl    work mcu_periph/gpio.vhd
+vhdl    work mcu_periph/qcounter_mcu16.vhd
+
+vhdl    work mcu_periph/event_rwc.vhd
+vhdl    work mcu_periph/capture_reg.vhd
+vhdl    work mcu_periph/capture_reg16.vhd
+
 #==============================================================================#
 # Top-level design file                                                        #
 #==============================================================================#