2 use ieee.std_logic_1164.all;
3 use ieee.std_logic_arith.all;
4 use ieee.std_logic_unsigned.all;
11 bad_start_bit : in std_logic;
12 bad_stop_bit : in std_logic;
13 rx_ready : in std_logic;
14 rx_reset : out std_logic;
15 rx_en : out std_logic;
16 fifo_we : out std_logic;
17 clk_en : out std_logic);
18 end entity rx_control;
20 --------------------------------------------------------------------------------
22 architecture behavioral of rx_control is
24 type state_t is (resetting, waiting, next_frame, receiving);
26 signal state : state_t;
28 --------------------------------------------------------------------------------
32 process (clk, reset) is
41 elsif clk'event and clk = '1' then
70 if rx_ready = '0' then
71 if bad_start_bit = '1' then
90 if rx_ready = '1' then
100 end architecture behavioral;