A_OFF : integer := 4);
port (
-- Primary slave interface
- ACK_O : out std_logic;
+ ACK_O : out std_logic := '0';
CLK_I : in std_logic;
RST_I : in std_logic;
STB_I : in std_logic;
IRF_ADR_O : out std_logic_vector (IRF_ADR_W-1 downto 0);
IRF_DAT_I : in std_logic_vector (15 downto 0);
IRF_DAT_O : out std_logic_vector (15 downto 0);
- IRF_STB_O : out std_logic;
- IRF_WE_O : out std_logic;
+ IRF_STB_O : out std_logic := '0';
+ IRF_WE_O : out std_logic := '0';
-- Error flag
BAD_BASE : out std_logic);
end entity irc_base;
constant ANG_ADR : irf_adr_t := conv_std_logic_vector(BASE+A_OFF, IRF_ADR_W);
- signal state : state_t;
+ signal state : state_t := ready;
signal irc : std_logic_vector (15 downto 0);
signal irc_base : std_logic_vector (15 downto 0);
signal irc_per : std_logic_vector (15 downto 0);