STB_I : in std_logic;
-- PWM interface
PWM_DAT_O : out std_logic_vector (PWM_W-1 downto 0);
- PWM_STB_O : out std_logic;
+ PWM_STB_O : out std_logic := '0';
-- Shared dual-port memory
IRF_ACK_I : in std_logic;
IRF_ADR_O : out std_logic_vector (IRF_ADR_W-1 downto 0);
constant PWM_ADR : irf_adr_t := conv_std_logic_vector(P_BASE + PWM_OFF, IRF_ADR_W);
- signal state : state_t;
+ signal state : state_t := ready;
- signal INNER_ACK : std_logic;
+ signal INNER_ACK : std_logic := '0';
--------------------------------------------------------------------------------