IRF_ADR_O : out std_logic_vector (IRF_ADR_W-1 downto 0);
IRF_DAT_I : in std_logic_vector (15 downto 0);
IRF_DAT_O : out std_logic_vector (15 downto 0);
- IRF_STB_O : out std_logic;
- IRF_WE_O : out std_logic);
+ IRF_STB_O : out std_logic := '0';
+ IRF_WE_O : out std_logic := '0');
end entity vector_scale;
--------------------------------------------------------------------------------
constant VECTOR_ADR : irf_adr_t := conv_std_logic_vector(PHASE_BASE + VECTOR_OFF, IRF_ADR_W);
constant SCALED_ADR : irf_adr_t := conv_std_logic_vector(PHASE_BASE + SCALED_OFF, IRF_ADR_W);
- signal state : state_t;
+ signal state : state_t := ready;
- signal INNER_ACK : std_logic;
+ signal INNER_ACK : std_logic := '0';
function twos_to_biased (twos : std_logic_vector) return std_logic_vector is