]> rtime.felk.cvut.cz Git - fpga/plasma.git/tree - vhdl/
Local copy of Plasma MIPS project.
[fpga/plasma.git] / vhdl /
drwxr-xr-x   ..
-rw-r--r-- 2632 alu.vhd
drwxr-xr-x - build_dir
-rw-r--r-- 4157 bus_mux.vhd
-rw-r--r-- 6258 cache.vhd
-rw-r--r-- 5922 code.txt
-rw-r--r-- 16185 control.vhd
-rw-r--r-- 13365 ddr_ctrl.vhd
-rw-r--r-- 6966 eth_dma.vhd
-rw-r--r-- 2998 makefile
-rw-r--r-- 6562 mem_ctrl.vhd
-rw-r--r-- 12955 mlite_cpu.vhd
-rw-r--r-- 23388 mlite_pack.vhd
-rw-r--r-- 7370 mult.vhd
-rw-r--r-- 2101 pc_next.vhd
-rw-r--r-- 5657 pipeline.vhd
-rw-r--r-- 11942 plasma.vhd
-rw-r--r-- 11128 plasma_3e.vhd
-rw-r--r-- 750 plasma_S3E.npl
-rw-r--r-- 3635 plasma_if.ucf
-rw-r--r-- 5137 plasma_if.vhd
-rw-r--r-- 6146 ram.vhd
-rw-r--r-- 181522 ram_xilinx.vhd
-rw-r--r-- 16570 reg_bank.vhd
-rw-r--r-- 3063 shifter.vhd
-rwxr-xr-x 236 simili.cmd
-rw-r--r-- 18105 spartan3e.ucf
-rw-r--r-- 4102 tbench.vhd
-rw-r--r-- 7018 uart.vhd