]> rtime.felk.cvut.cz Git - fpga/openmsp430.git/blobdiff - top/top_8_32_mul/openMSP430_8_32_mul.prj
Added ready to use openMSP430 entity.
[fpga/openmsp430.git] / top / top_8_32_mul / openMSP430_8_32_mul.prj
diff --git a/top/top_8_32_mul/openMSP430_8_32_mul.prj b/top/top_8_32_mul/openMSP430_8_32_mul.prj
new file mode 100644 (file)
index 0000000..3526ea9
--- /dev/null
@@ -0,0 +1,30 @@
+verilog work openmsp430/core/omsp_alu.v
+verilog work openmsp430/core/omsp_clock_module.v
+verilog work openmsp430/core/omsp_dbg.v
+verilog work openmsp430/core/omsp_dbg_hwbrk.v
+verilog work openmsp430/core/omsp_dbg_uart.v
+verilog work openmsp430/core/omsp_execution_unit.v
+verilog work openmsp430/core/omsp_frontend.v
+verilog work openmsp430/core/omsp_mem_backbone.v
+verilog work openmsp430/core/omsp_multiplier.v
+verilog work openmsp430/core/omsp_register_file.v
+verilog work openmsp430/core/omsp_sfr.v
+verilog work openmsp430/core/omsp_watchdog.v
+verilog work openmsp430/core/openMSP430.v
+
+verilog work openmsp430/core/openMSP430_undefines.v
+verilog work openmsp430/core/timescale.v
+
+vhdl    work openmsp430/memory/ram_generic.vhd
+
+vhdl    work openmsp430/uart/tx_control.vhd
+vhdl    work openmsp430/uart/tx.vhd
+vhdl    work openmsp430/uart/rx_control.vhd
+vhdl    work openmsp430/uart/rx.vhd
+vhdl    work openmsp430/uart/fifo.vhd
+vhdl    work openmsp430/uart/baud_gen.vhd
+vhdl    work openmsp430/uart/uart.vhd
+
+verilog work openmsp430/top/top_8_32_mul/openMSP430_defines.v
+vhdl    work openmsp430/top/top_8_32_mul/openMSP430_8_32_mul.vhd
+