signal s1_mosi : std_logic := '1';
signal s1_sync_out : std_logic := '1';
+ signal s1_data : std_logic := '1';
+ signal s1_sync_in_prev : std_logic := '1';
+
--BiDirs
signal data : std_logic_vector(31 downto 0);
end process;
+ s1_clk_in <= s1_clk_out;
+
+ connect_s1_process : process
+ begin
+ wait until s1_clk_out'event and s1_clk_out = '0';
+ wait for 10 ns;
+
+ s1_data <= s1_mosi;
+ s1_miso <= s1_data;
+ s1_sync_in <= not s1_sync_out or s1_sync_in_prev;
+ s1_sync_in_prev <= not s1_sync_out;
+ end process;
+
END;