]> rtime.felk.cvut.cz Git - fpga/lx-cpu1/lx-rocon.git/blobdiff - hw/lx_rocon_top.prj
LX Master extended to allow send only each n-th receive done event.
[fpga/lx-cpu1/lx-rocon.git] / hw / lx_rocon_top.prj
index ab24c6bb9c0cf7814ba7c039e4bc9ba8de87db63..3ae7f9dba8ca701fb973a8a651ea8acae0b8ffa3 100644 (file)
@@ -14,6 +14,7 @@ vhdl work "lx-rocon_tumbl/lx_rocon_gprf_abd.vhd"
 vhdl work "lx-rocon_tumbl/lx_rocon_dmem.vhd"
 vhdl work "irc_proc_inc.vhd"
 vhdl work "crc.vhd"
+vhdl work "cnt_div.vhd"
 vhdl work "measurement_register.vhd"
 vhdl work "lxmaster_transmitter.vhd"
 vhdl work "lxmaster_receiver.vhd"