]> rtime.felk.cvut.cz Git - fpga/lx-cpu1/lx-dad.git/blobdiff - hw/tb/test-lx-dad.gtkw
Include testbed for simulation in GHDL.
[fpga/lx-cpu1/lx-dad.git] / hw / tb / test-lx-dad.gtkw
diff --git a/hw/tb/test-lx-dad.gtkw b/hw/tb/test-lx-dad.gtkw
new file mode 100644 (file)
index 0000000..97fe9d7
--- /dev/null
@@ -0,0 +1,37 @@
+[*]
+[*] GTKWave Analyzer v3.3.37 (w)1999-2012 BSI
+[*] Sun Feb 15 02:38:56 2015
+[*]
+[dumpfile] "/mnt/sshfs/pc-pisa/home/pi/repo/lx-dad/hw/tb/test-lx-dad.ghw"
+[dumpfile_mtime] "Sun Feb 15 02:35:59 2015"
+[dumpfile_size] 38278
+[savefile] "/mnt/sshfs/pc-pisa/home/pi/repo/lx-dad/hw/tb/test-lx-dad.gtkw"
+[timestart] 606700000
+[size] 1000 600
+[pos] -1 -1
+*-27.000000 860000000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
+[treeopen] top.
+[sst_width] 210
+[signals_width] 166
+[sst_expanded] 1
+[sst_vpaned_height] 154
+@28
+top.lx_dad_top_tb.uut.clk_50m
+@22
+#{top.lx_dad_top_tb.uut.bls[3:0]} top.lx_dad_top_tb.uut.bls[3] top.lx_dad_top_tb.uut.bls[2] top.lx_dad_top_tb.uut.bls[1] top.lx_dad_top_tb.uut.bls[0]
+@28
+top.lx_dad_top_tb.uut.cs0_xc
+@22
+#{top.lx_dad_top_tb.data[31:0]} top.lx_dad_top_tb.data[31] top.lx_dad_top_tb.data[30] top.lx_dad_top_tb.data[29] top.lx_dad_top_tb.data[28] top.lx_dad_top_tb.data[27] top.lx_dad_top_tb.data[26] top.lx_dad_top_tb.data[25] top.lx_dad_top_tb.data[24] top.lx_dad_top_tb.data[23] top.lx_dad_top_tb.data[22] top.lx_dad_top_tb.data[21] top.lx_dad_top_tb.data[20] top.lx_dad_top_tb.data[19] top.lx_dad_top_tb.data[18] top.lx_dad_top_tb.data[17] top.lx_dad_top_tb.data[16] top.lx_dad_top_tb.data[15] top.lx_dad_top_tb.data[14] top.lx_dad_top_tb.data[13] top.lx_dad_top_tb.data[12] top.lx_dad_top_tb.data[11] top.lx_dad_top_tb.data[10] top.lx_dad_top_tb.data[9] top.lx_dad_top_tb.data[8] top.lx_dad_top_tb.data[7] top.lx_dad_top_tb.data[6] top.lx_dad_top_tb.data[5] top.lx_dad_top_tb.data[4] top.lx_dad_top_tb.data[3] top.lx_dad_top_tb.data[2] top.lx_dad_top_tb.data[1] top.lx_dad_top_tb.data[0]
+@28
+top.lx_dad_top_tb.uut.memory_bus_example.example_mem_ce_s
+top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.en_m
+top.lx_dad_top_tb.uut.memory_bus_example.example_mem_ce_r
+@22
+#{top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.we_m[3:0]} top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.we_m[3] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.we_m[2] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.we_m[1] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.we_m[0]
+#{top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.addr_m[9:0]} top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.addr_m[9] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.addr_m[8] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.addr_m[7] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.addr_m[6] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.addr_m[5] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.addr_m[4] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.addr_m[3] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.addr_m[2] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.addr_m[1] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.addr_m[0]
+#{top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[31:0]} top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[31] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[30] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[29] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[28] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[27] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[26] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[25] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[24] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[23] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[22] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[21] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[20] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[19] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[18] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[17] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[16] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[15] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[14] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[13] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[12] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[11] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[10] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[9] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[8] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[7] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[6] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[5] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[4] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[3] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[2] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[1] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[0]
+#{top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[31:0]} top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[31] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[30] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[29] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[28] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[27] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[26] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[25] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[24] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[23] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[22] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[21] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[20] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[19] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[18] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[17] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[16] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[15] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[14] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[13] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[12] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[11] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[10] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[9] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[8] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[7] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[6] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[5] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[4] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[3] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[2] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[1] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[0]
+#{top.lx_dad_top_tb.uut.data_read_s[31:0]} top.lx_dad_top_tb.uut.data_read_s[31] top.lx_dad_top_tb.uut.data_read_s[30] top.lx_dad_top_tb.uut.data_read_s[29] top.lx_dad_top_tb.uut.data_read_s[28] top.lx_dad_top_tb.uut.data_read_s[27] top.lx_dad_top_tb.uut.data_read_s[26] top.lx_dad_top_tb.uut.data_read_s[25] top.lx_dad_top_tb.uut.data_read_s[24] top.lx_dad_top_tb.uut.data_read_s[23] top.lx_dad_top_tb.uut.data_read_s[22] top.lx_dad_top_tb.uut.data_read_s[21] top.lx_dad_top_tb.uut.data_read_s[20] top.lx_dad_top_tb.uut.data_read_s[19] top.lx_dad_top_tb.uut.data_read_s[18] top.lx_dad_top_tb.uut.data_read_s[17] top.lx_dad_top_tb.uut.data_read_s[16] top.lx_dad_top_tb.uut.data_read_s[15] top.lx_dad_top_tb.uut.data_read_s[14] top.lx_dad_top_tb.uut.data_read_s[13] top.lx_dad_top_tb.uut.data_read_s[12] top.lx_dad_top_tb.uut.data_read_s[11] top.lx_dad_top_tb.uut.data_read_s[10] top.lx_dad_top_tb.uut.data_read_s[9] top.lx_dad_top_tb.uut.data_read_s[8] top.lx_dad_top_tb.uut.data_read_s[7] top.lx_dad_top_tb.uut.data_read_s[6] top.lx_dad_top_tb.uut.data_read_s[5] top.lx_dad_top_tb.uut.data_read_s[4] top.lx_dad_top_tb.uut.data_read_s[3] top.lx_dad_top_tb.uut.data_read_s[2] top.lx_dad_top_tb.uut.data_read_s[1] top.lx_dad_top_tb.uut.data_read_s[0]
+[pattern_trace] 1
+[pattern_trace] 0