phi_2 : out std_logic;
phi_st : out std_logic;
ph_rst : out std_logic;
- LED : out std_logic;
+ --LED : out std_logic;
sck_o : out std_logic;
cnv_o : out std_logic;
-- mem related inputs
- addr_i : in std_logic_vector(1 downto 0);
+ addr_i : in std_logic_vector(3 downto 0);
data_i : in std_logic_vector(31 downto 0);
ce_i : in std_logic;
bls_i : in std_logic_vector(3 downto 0);
data_i : in std_logic_vector(31 downto 0);
data_o : out std_logic_vector(31 downto 0);
+ led : out std_logic;
-- Memory wiring for internal state automata use
ce_a_i : in std_logic;
cnv_o : out std_logic;
data_o : out std_logic_vector((adc_res-1) downto 0);
drdy_o : out std_logic;
-
+
sck_i : in std_logic;
SDI : in std_logic
);