From 8de962fabdb3257e2b2a0a149d8467ad3e5fb0e6 Mon Sep 17 00:00:00 2001 From: Marc Alexander Lehmann Date: Tue, 29 May 2012 21:03:44 +0000 Subject: [PATCH] *** empty log message *** --- ev.c | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/ev.c b/ev.c index 3aef482..833b230 100644 --- a/ev.c +++ b/ev.c @@ -2061,7 +2061,7 @@ ev_feed_signal_event (EV_P_ int signum) EV_THROW #endif signals [signum].pending = 0; - MEMORY_FENCE_RELEASE; + ECB_MEMORY_FENCE_RELEASE; for (w = signals [signum].head; w; w = w->next) ev_feed_event (EV_A_ (W)w, EV_SIGNAL); -- 2.39.2