X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/zynq/canbench-sw.git/blobdiff_plain/957a4cef764f13272bfeded8d1a34b3c64bafd41..bfff60814ca72142b34f67fd4e44722245fce39b:/system/ip/sja1000_1.0/component.xml diff --git a/system/ip/sja1000_1.0/component.xml b/system/ip/sja1000_1.0/component.xml index 81980cb..f2c06f4 100644 --- a/system/ip/sja1000_1.0/component.xml +++ b/system/ip/sja1000_1.0/component.xml @@ -169,7 +169,7 @@ WIZ_DATA_WIDTH - 32 + 32 WIZ_NUM_REG @@ -181,252 +181,6 @@ - - IRQ - - - - - - - INTERRUPT - - - irq - - - - - - SENSITIVITY - LEVEL_HIGH - - - - - S_AXI_INTR - - - - - - - - - AWADDR - - - s_axi_intr_awaddr - - - - - AWPROT - - - s_axi_intr_awprot - - - - - AWVALID - - - s_axi_intr_awvalid - - - - - AWREADY - - - s_axi_intr_awready - - - - - WDATA - - - s_axi_intr_wdata - - - - - WSTRB - - - s_axi_intr_wstrb - - - - - WVALID - - - s_axi_intr_wvalid - - - - - WREADY - - - s_axi_intr_wready - - - - - BRESP - - - s_axi_intr_bresp - - - - - BVALID - - - s_axi_intr_bvalid - - - - - BREADY - - - s_axi_intr_bready - - - - - ARADDR - - - s_axi_intr_araddr - - - - - ARPROT - - - s_axi_intr_arprot - - - - - ARVALID - - - s_axi_intr_arvalid - - - - - ARREADY - - - s_axi_intr_arready - - - - - RDATA - - - s_axi_intr_rdata - - - - - RRESP - - - s_axi_intr_rresp - - - - - RVALID - - - s_axi_intr_rvalid - - - - - RREADY - - - s_axi_intr_rready - - - - - - WIZ_DATA_WIDTH - 32 - - - WIZ_NUM_REG - 5 - - - SUPPORTS_NARROW_BURST - 0 - - - - - S_AXI_INTR_RST - - - - - - - RST - - - s_axi_intr_aresetn - - - - - - POLARITY - ACTIVE_LOW - - - - - S_AXI_INTR_CLK - - - - - - - CLK - - - s_axi_intr_aclk - - - - - - ASSOCIATED_BUSIF - S_AXI_INTR - - - ASSOCIATED_RESET - s_axi_intr_aresetn - - - S00_AXI_RST @@ -477,26 +231,6 @@ - - S_AXI_INTR - - S_AXI_INTR_reg - 0 - 4096 - 32 - register - - - OFFSET_BASE_PARAM - 0 - - - OFFSET_HIGH_PARAM - 0 - - - - S00_AXI @@ -520,26 +254,6 @@ - - xilinx_vhdlsynthesis - VHDL Synthesis - vhdlSource:vivado.xilinx.com:synthesis - vhdl - sja1000_v1_0 - - xilinx_vhdlsynthesis_view_fileset - - - - xilinx_vhdlbehavioralsimulation - VHDL Simulation - vhdlSource:vivado.xilinx.com:simulation - vhdl - sja1000_v1_0 - - xilinx_vhdlbehavioralsimulation_view_fileset - - xilinx_softwaredriver Software Driver @@ -547,6 +261,12 @@ xilinx_softwaredriver_view_fileset + + + viewChecksum + a7e67acd + + xilinx_xpgui @@ -555,6 +275,12 @@ xilinx_xpgui_view_fileset + + + viewChecksum + fd592ead + + bd_tcl @@ -563,327 +289,93 @@ bd_tcl_view_fileset + + + viewChecksum + 45a2f450 + + + + + xilinx_anylanguagesynthesis + Synthesis + :vivado.xilinx.com:synthesis + sja1000 + + xilinx_anylanguagesynthesis_view_fileset + + + + viewChecksum + 4030548c + + + + + xilinx_anylanguagebehavioralsimulation + Simulation + :vivado.xilinx.com:simulation + sja1000 + + xilinx_anylanguagebehavioralsimulation_view_fileset + + + + viewChecksum + 4030548c + + - s_axi_intr_awaddr - - in - - 4 - 0 - - - - wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation - - - - - - s_axi_intr_awprot - - in - - 2 - 0 - - - - wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation - - - - - - s_axi_intr_awvalid - - in - - - wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation - - - - - - s_axi_intr_awready - - out - - - wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation - - - - - - s_axi_intr_wdata - - in - - 31 - 0 - - - - wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation - - - - - - s_axi_intr_wstrb - - in - - 3 - 0 - - - - wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation - - - - - - s_axi_intr_wvalid - - in - - - wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation - - - - - - s_axi_intr_wready - - out - - - wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation - - - - - - s_axi_intr_bresp - - out - - 1 - 0 - - - - wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation - - - - - - s_axi_intr_bvalid - - out - - - wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation - - - - - - s_axi_intr_bready - - in - - - wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation - - - - - - s_axi_intr_araddr - - in - - 4 - 0 - - - - wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation - - - - - - s_axi_intr_arprot + can_clk in - - 2 - 0 - wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation - s_axi_intr_arvalid + can_rx in wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation - - - - - - s_axi_intr_arready - - out - - - wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation - - - - - - s_axi_intr_rdata - - out - - 31 - 0 - - - - wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation - - - - - - s_axi_intr_rresp - - out - - 1 - 0 - - - - wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation - s_axi_intr_rvalid + can_tx out wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation - - - - - - s_axi_intr_rready - - in - - - wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation - - - - - - s_axi_intr_aclk - - in - - - wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation - - - - - - s_axi_intr_aresetn - - in - - - wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation - irq + bus_off_on out wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation @@ -893,14 +385,14 @@ in - 9 + 7 0 wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation @@ -916,8 +408,8 @@ wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation @@ -929,8 +421,8 @@ wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation @@ -942,8 +434,8 @@ wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation @@ -953,14 +445,14 @@ in - 31 + 31 0 wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation @@ -970,14 +462,14 @@ in - 3 + 3 0 wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation @@ -989,8 +481,8 @@ wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation @@ -1002,8 +494,8 @@ wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation @@ -1019,8 +511,8 @@ wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation @@ -1032,8 +524,8 @@ wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation @@ -1045,8 +537,8 @@ wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation @@ -1056,14 +548,14 @@ in - 9 + 7 0 wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation @@ -1079,8 +571,8 @@ wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation @@ -1092,8 +584,8 @@ wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation @@ -1105,8 +597,8 @@ wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation @@ -1116,14 +608,14 @@ out - 31 + 31 0 wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation @@ -1139,8 +631,8 @@ wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation @@ -1152,8 +644,8 @@ wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation @@ -1165,8 +657,21 @@ wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + irq + + out + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation @@ -1178,8 +683,8 @@ wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation @@ -1191,8 +696,8 @@ wire - xilinx_vhdlsynthesis - xilinx_vhdlbehavioralsimulation + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation @@ -1200,64 +705,22 @@ - C_S_AXI_INTR_DATA_WIDTH - C S AXI INTR DATA WIDTH - Width of S_AXI data bus - 32 - - - C_S_AXI_INTR_ADDR_WIDTH - C S AXI INTR ADDR WIDTH - Width of S_AXI address bus - 5 - - - C_NUM_OF_INTR - C NUM OF INTR - Number of Interrupts - 1 - - - C_INTR_SENSITIVITY - C INTR SENSITIVITY - Each bit corresponds to Sensitivity of interrupt : 0 - EDGE, 1 - LEVEL - 0xFFFFFFFF - - - C_INTR_ACTIVE_STATE - C INTR ACTIVE STATE - Each bit corresponds to Sub-type of INTR: [0 - FALLING_EDGE, 1 - RISING_EDGE : if C_INTR_SENSITIVITY is EDGE(0)] and [ 0 - LEVEL_LOW, 1 - LEVEL_LOW : if C_INTR_SENSITIVITY is LEVEL(1) ] - 0xFFFFFFFF - - - C_IRQ_SENSITIVITY - C IRQ SENSITIVITY - Sensitivity of IRQ: 0 - EDGE, 1 - LEVEL - 1 - - - C_IRQ_ACTIVE_STATE - C IRQ ACTIVE STATE - Sub-type of IRQ: [0 - FALLING_EDGE, 1 - RISING_EDGE : if C_IRQ_SENSITIVITY is EDGE(0)] and [ 0 - LEVEL_LOW, 1 - LEVEL_LOW : if C_IRQ_SENSITIVITY is LEVEL(1) ] - 1 - - C_S00_AXI_DATA_WIDTH C S00 AXI DATA WIDTH Width of S_AXI data bus - 32 + 32 C_S00_AXI_ADDR_WIDTH C S00 AXI ADDR WIDTH Width of S_AXI address bus - 10 + 8 - choice_list_ea018de4 + choice_list_6fc15197 32 @@ -1267,40 +730,6 @@ - - xilinx_vhdlsynthesis_view_fileset - - hdl/sja1000_v1_0_S00_AXI.vhd - vhdlSource - - - hdl/sja1000_v1_0_S_AXI_INTR.vhd - vhdlSource - - - hdl/sja1000_v1_0.vhd - vhdlSource - CHECKSUM_c0f4fbf5 - - - - xilinx_vhdlbehavioralsimulation_view_fileset - - hdl/sja1000_v1_0_S00_AXI.vhd - vhdlSource - USED_IN_ipstatic - - - hdl/sja1000_v1_0_S_AXI_INTR.vhd - vhdlSource - USED_IN_ipstatic - - - hdl/sja1000_v1_0.vhd - vhdlSource - USED_IN_ipstatic - - xilinx_softwaredriver_view_fileset @@ -1338,7 +767,7 @@ xgui/sja1000_v1_0.tcl tclSource - CHECKSUM_9907a0a4 + CHECKSUM_fd592ead XGUI_VERSION_2 @@ -1349,94 +778,197 @@ tclSource + + xilinx_anylanguagesynthesis_view_fileset + + hdl/can_crc.v + verilogSource + xil_defaultlib + + + hdl/can_register_asyn_syn.v + verilogSource + xil_defaultlib + + + hdl/can_fifo.v + verilogSource + xil_defaultlib + + + hdl/can_register_syn.v + verilogSource + xil_defaultlib + + + hdl/can_defines.v + verilogSource + xil_defaultlib + + + hdl/can_btl.v + verilogSource + xil_defaultlib + + + hdl/timescale.v + verilogSource + xil_defaultlib + + + hdl/can_bsp.v + verilogSource + xil_defaultlib + + + hdl/can_registers.v + verilogSource + xil_defaultlib + + + hdl/can_register_asyn.v + verilogSource + xil_defaultlib + + + hdl/sja1000.v + verilogSource + xil_defaultlib + + + hdl/can_top_raw.v + verilogSource + xil_defaultlib + + + hdl/can_ibo.v + verilogSource + xil_defaultlib + + + hdl/can_acf.v + verilogSource + xil_defaultlib + + + hdl/can_ifc_axi.v + verilogSource + xil_defaultlib + + + hdl/can_register.v + verilogSource + CHECKSUM_afda1032 + xil_defaultlib + + + + xilinx_anylanguagebehavioralsimulation_view_fileset + + hdl/can_crc.v + verilogSource + USED_IN_ipstatic + xil_defaultlib + + + hdl/can_register_asyn_syn.v + verilogSource + USED_IN_ipstatic + xil_defaultlib + + + hdl/can_fifo.v + verilogSource + USED_IN_ipstatic + xil_defaultlib + + + hdl/can_register_syn.v + verilogSource + USED_IN_ipstatic + xil_defaultlib + + + hdl/can_defines.v + verilogSource + USED_IN_ipstatic + xil_defaultlib + + + hdl/can_btl.v + verilogSource + USED_IN_ipstatic + xil_defaultlib + + + hdl/timescale.v + verilogSource + USED_IN_ipstatic + xil_defaultlib + + + hdl/can_bsp.v + verilogSource + USED_IN_ipstatic + xil_defaultlib + + + hdl/can_registers.v + verilogSource + USED_IN_ipstatic + xil_defaultlib + + + hdl/can_register_asyn.v + verilogSource + USED_IN_ipstatic + xil_defaultlib + + + hdl/sja1000.v + verilogSource + USED_IN_ipstatic + xil_defaultlib + + + hdl/can_top_raw.v + verilogSource + USED_IN_ipstatic + xil_defaultlib + + + hdl/can_ibo.v + verilogSource + USED_IN_ipstatic + xil_defaultlib + + + hdl/can_acf.v + verilogSource + USED_IN_ipstatic + xil_defaultlib + + + hdl/can_ifc_axi.v + verilogSource + USED_IN_ipstatic + xil_defaultlib + + + hdl/can_register.v + verilogSource + USED_IN_ipstatic + xil_defaultlib + + SJA1000 Soft Core - - C_S_AXI_INTR_DATA_WIDTH - C S AXI INTR DATA WIDTH - Width of S_AXI data bus - 32 - - - - false - - - - - - C_S_AXI_INTR_ADDR_WIDTH - C S AXI INTR ADDR WIDTH - Width of S_AXI address bus - 5 - - - - false - - - - - - C_NUM_OF_INTR - C NUM OF INTR - Number of Interrupts - 1 - - - C_INTR_SENSITIVITY - C INTR SENSITIVITY - Each bit corresponds to Sensitivity of interrupt : 0 - EDGE, 1 - LEVEL - 0xFFFFFFFF - - - C_INTR_ACTIVE_STATE - C INTR ACTIVE STATE - Each bit corresponds to Sub-type of INTR: [0 - FALLING_EDGE, 1 - RISING_EDGE : if C_INTR_SENSITIVITY is EDGE(0)] and [ 0 - LEVEL_LOW, 1 - LEVEL_LOW : if C_INTR_SENSITIVITY is LEVEL(1) ] - 0xFFFFFFFF - - - C_IRQ_SENSITIVITY - C IRQ SENSITIVITY - Sensitivity of IRQ: 0 - EDGE, 1 - LEVEL - 1 - - - C_IRQ_ACTIVE_STATE - C IRQ ACTIVE STATE - Sub-type of IRQ: [0 - FALLING_EDGE, 1 - RISING_EDGE : if C_IRQ_SENSITIVITY is EDGE(0)] and [ 0 - LEVEL_LOW, 1 - LEVEL_LOW : if C_IRQ_SENSITIVITY is LEVEL(1) ] - 1 - - - C_S_AXI_INTR_BASEADDR - C S AXI INTR BASEADDR - 0xFFFFFFFF - - - - false - - - - - - C_S_AXI_INTR_HIGHADDR - C S AXI INTR HIGHADDR - 0x00000000 - - - - false - - - - C_S00_AXI_DATA_WIDTH C S00 AXI DATA WIDTH Width of S_AXI data bus - 32 + 32 @@ -1449,7 +981,7 @@ C_S00_AXI_ADDR_WIDTH C S00 AXI ADDR WIDTH Width of S_AXI address bus - 10 + 8 @@ -1461,26 +993,12 @@ C_S00_AXI_BASEADDR C S00 AXI BASEADDR - 0xFFFFFFFF - - - - false - - - + 0xFFFFFFFF C_S00_AXI_HIGHADDR C S00 AXI HIGHADDR - 0x00000000 - - - - false - - - + 0x00000000 Component_Name @@ -1496,11 +1014,20 @@ AXI_Peripheral sja1000_v1.0 - 1 - 2016-05-08T23:03:05Z + 3 + 2016-05-11T10:03:38Z + + /home/martin/projects/cvut/bakalarka/canbench-sw/system/ip/sja1000_1.0 + 2015.4 + + + + + +