]> rtime.felk.cvut.cz Git - fpga/zynq/canbench-sw.git/blob - system/ip/servo_led_ps2_1.0/component.xml
ef01082d0e12ee277eb06cff7b4a67427d30f16f
[fpga/zynq/canbench-sw.git] / system / ip / servo_led_ps2_1.0 / component.xml
1 <?xml version="1.0" encoding="UTF-8"?>
2 <spirit:component xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
3   <spirit:vendor>user.org</spirit:vendor>
4   <spirit:library>user</spirit:library>
5   <spirit:name>servo_led_ps2</spirit:name>
6   <spirit:version>1.0</spirit:version>
7   <spirit:busInterfaces>
8     <spirit:busInterface>
9       <spirit:name>S00_AXI</spirit:name>
10       <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/>
11       <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/>
12       <spirit:slave>
13         <spirit:memoryMapRef spirit:memoryMapRef="S00_AXI"/>
14       </spirit:slave>
15       <spirit:portMaps>
16         <spirit:portMap>
17           <spirit:logicalPort>
18             <spirit:name>AWADDR</spirit:name>
19           </spirit:logicalPort>
20           <spirit:physicalPort>
21             <spirit:name>s00_axi_awaddr</spirit:name>
22           </spirit:physicalPort>
23         </spirit:portMap>
24         <spirit:portMap>
25           <spirit:logicalPort>
26             <spirit:name>AWPROT</spirit:name>
27           </spirit:logicalPort>
28           <spirit:physicalPort>
29             <spirit:name>s00_axi_awprot</spirit:name>
30           </spirit:physicalPort>
31         </spirit:portMap>
32         <spirit:portMap>
33           <spirit:logicalPort>
34             <spirit:name>AWVALID</spirit:name>
35           </spirit:logicalPort>
36           <spirit:physicalPort>
37             <spirit:name>s00_axi_awvalid</spirit:name>
38           </spirit:physicalPort>
39         </spirit:portMap>
40         <spirit:portMap>
41           <spirit:logicalPort>
42             <spirit:name>AWREADY</spirit:name>
43           </spirit:logicalPort>
44           <spirit:physicalPort>
45             <spirit:name>s00_axi_awready</spirit:name>
46           </spirit:physicalPort>
47         </spirit:portMap>
48         <spirit:portMap>
49           <spirit:logicalPort>
50             <spirit:name>WDATA</spirit:name>
51           </spirit:logicalPort>
52           <spirit:physicalPort>
53             <spirit:name>s00_axi_wdata</spirit:name>
54           </spirit:physicalPort>
55         </spirit:portMap>
56         <spirit:portMap>
57           <spirit:logicalPort>
58             <spirit:name>WSTRB</spirit:name>
59           </spirit:logicalPort>
60           <spirit:physicalPort>
61             <spirit:name>s00_axi_wstrb</spirit:name>
62           </spirit:physicalPort>
63         </spirit:portMap>
64         <spirit:portMap>
65           <spirit:logicalPort>
66             <spirit:name>WVALID</spirit:name>
67           </spirit:logicalPort>
68           <spirit:physicalPort>
69             <spirit:name>s00_axi_wvalid</spirit:name>
70           </spirit:physicalPort>
71         </spirit:portMap>
72         <spirit:portMap>
73           <spirit:logicalPort>
74             <spirit:name>WREADY</spirit:name>
75           </spirit:logicalPort>
76           <spirit:physicalPort>
77             <spirit:name>s00_axi_wready</spirit:name>
78           </spirit:physicalPort>
79         </spirit:portMap>
80         <spirit:portMap>
81           <spirit:logicalPort>
82             <spirit:name>BRESP</spirit:name>
83           </spirit:logicalPort>
84           <spirit:physicalPort>
85             <spirit:name>s00_axi_bresp</spirit:name>
86           </spirit:physicalPort>
87         </spirit:portMap>
88         <spirit:portMap>
89           <spirit:logicalPort>
90             <spirit:name>BVALID</spirit:name>
91           </spirit:logicalPort>
92           <spirit:physicalPort>
93             <spirit:name>s00_axi_bvalid</spirit:name>
94           </spirit:physicalPort>
95         </spirit:portMap>
96         <spirit:portMap>
97           <spirit:logicalPort>
98             <spirit:name>BREADY</spirit:name>
99           </spirit:logicalPort>
100           <spirit:physicalPort>
101             <spirit:name>s00_axi_bready</spirit:name>
102           </spirit:physicalPort>
103         </spirit:portMap>
104         <spirit:portMap>
105           <spirit:logicalPort>
106             <spirit:name>ARADDR</spirit:name>
107           </spirit:logicalPort>
108           <spirit:physicalPort>
109             <spirit:name>s00_axi_araddr</spirit:name>
110           </spirit:physicalPort>
111         </spirit:portMap>
112         <spirit:portMap>
113           <spirit:logicalPort>
114             <spirit:name>ARPROT</spirit:name>
115           </spirit:logicalPort>
116           <spirit:physicalPort>
117             <spirit:name>s00_axi_arprot</spirit:name>
118           </spirit:physicalPort>
119         </spirit:portMap>
120         <spirit:portMap>
121           <spirit:logicalPort>
122             <spirit:name>ARVALID</spirit:name>
123           </spirit:logicalPort>
124           <spirit:physicalPort>
125             <spirit:name>s00_axi_arvalid</spirit:name>
126           </spirit:physicalPort>
127         </spirit:portMap>
128         <spirit:portMap>
129           <spirit:logicalPort>
130             <spirit:name>ARREADY</spirit:name>
131           </spirit:logicalPort>
132           <spirit:physicalPort>
133             <spirit:name>s00_axi_arready</spirit:name>
134           </spirit:physicalPort>
135         </spirit:portMap>
136         <spirit:portMap>
137           <spirit:logicalPort>
138             <spirit:name>RDATA</spirit:name>
139           </spirit:logicalPort>
140           <spirit:physicalPort>
141             <spirit:name>s00_axi_rdata</spirit:name>
142           </spirit:physicalPort>
143         </spirit:portMap>
144         <spirit:portMap>
145           <spirit:logicalPort>
146             <spirit:name>RRESP</spirit:name>
147           </spirit:logicalPort>
148           <spirit:physicalPort>
149             <spirit:name>s00_axi_rresp</spirit:name>
150           </spirit:physicalPort>
151         </spirit:portMap>
152         <spirit:portMap>
153           <spirit:logicalPort>
154             <spirit:name>RVALID</spirit:name>
155           </spirit:logicalPort>
156           <spirit:physicalPort>
157             <spirit:name>s00_axi_rvalid</spirit:name>
158           </spirit:physicalPort>
159         </spirit:portMap>
160         <spirit:portMap>
161           <spirit:logicalPort>
162             <spirit:name>RREADY</spirit:name>
163           </spirit:logicalPort>
164           <spirit:physicalPort>
165             <spirit:name>s00_axi_rready</spirit:name>
166           </spirit:physicalPort>
167         </spirit:portMap>
168       </spirit:portMaps>
169       <spirit:parameters>
170         <spirit:parameter>
171           <spirit:name>WIZ_DATA_WIDTH</spirit:name>
172           <spirit:value spirit:format="long" spirit:id="BUSIFPARAM_VALUE.S00_AXI.WIZ_DATA_WIDTH" spirit:choiceRef="choice_list_6fc15197">32</spirit:value>
173         </spirit:parameter>
174         <spirit:parameter>
175           <spirit:name>WIZ_NUM_REG</spirit:name>
176           <spirit:value spirit:format="long" spirit:id="BUSIFPARAM_VALUE.S00_AXI.WIZ_NUM_REG" spirit:minimum="4" spirit:maximum="512" spirit:rangeType="long">8</spirit:value>
177         </spirit:parameter>
178         <spirit:parameter>
179           <spirit:name>SUPPORTS_NARROW_BURST</spirit:name>
180           <spirit:value spirit:format="long" spirit:id="BUSIFPARAM_VALUE.S00_AXI.SUPPORTS_NARROW_BURST" spirit:choiceRef="choice_pairs_ce1226b1">0</spirit:value>
181         </spirit:parameter>
182       </spirit:parameters>
183     </spirit:busInterface>
184     <spirit:busInterface>
185       <spirit:name>S00_AXI_RST</spirit:name>
186       <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/>
187       <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/>
188       <spirit:slave/>
189       <spirit:portMaps>
190         <spirit:portMap>
191           <spirit:logicalPort>
192             <spirit:name>RST</spirit:name>
193           </spirit:logicalPort>
194           <spirit:physicalPort>
195             <spirit:name>s00_axi_aresetn</spirit:name>
196           </spirit:physicalPort>
197         </spirit:portMap>
198       </spirit:portMaps>
199       <spirit:parameters>
200         <spirit:parameter>
201           <spirit:name>POLARITY</spirit:name>
202           <spirit:value spirit:id="BUSIFPARAM_VALUE.S00_AXI_RST.POLARITY">ACTIVE_LOW</spirit:value>
203         </spirit:parameter>
204       </spirit:parameters>
205     </spirit:busInterface>
206     <spirit:busInterface>
207       <spirit:name>S00_AXI_CLK</spirit:name>
208       <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/>
209       <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/>
210       <spirit:slave/>
211       <spirit:portMaps>
212         <spirit:portMap>
213           <spirit:logicalPort>
214             <spirit:name>CLK</spirit:name>
215           </spirit:logicalPort>
216           <spirit:physicalPort>
217             <spirit:name>s00_axi_aclk</spirit:name>
218           </spirit:physicalPort>
219         </spirit:portMap>
220       </spirit:portMaps>
221       <spirit:parameters>
222         <spirit:parameter>
223           <spirit:name>ASSOCIATED_BUSIF</spirit:name>
224           <spirit:value spirit:id="BUSIFPARAM_VALUE.S00_AXI_CLK.ASSOCIATED_BUSIF">S00_AXI</spirit:value>
225         </spirit:parameter>
226         <spirit:parameter>
227           <spirit:name>ASSOCIATED_RESET</spirit:name>
228           <spirit:value spirit:id="BUSIFPARAM_VALUE.S00_AXI_CLK.ASSOCIATED_RESET">s00_axi_aresetn</spirit:value>
229         </spirit:parameter>
230       </spirit:parameters>
231     </spirit:busInterface>
232   </spirit:busInterfaces>
233   <spirit:memoryMaps>
234     <spirit:memoryMap>
235       <spirit:name>S00_AXI</spirit:name>
236       <spirit:addressBlock>
237         <spirit:name>S00_AXI_reg</spirit:name>
238         <spirit:baseAddress spirit:format="long" spirit:resolve="user">0</spirit:baseAddress>
239         <spirit:range spirit:format="long">4096</spirit:range>
240         <spirit:width spirit:format="long">32</spirit:width>
241         <spirit:usage>register</spirit:usage>
242         <spirit:parameters>
243           <spirit:parameter>
244             <spirit:name>OFFSET_BASE_PARAM</spirit:name>
245             <spirit:value spirit:id="ADDRBLOCKPARAM_VALUE.S00_AXI.S00_AXI_REG.OFFSET_BASE_PARAM">C_S00_AXI_BASEADDR</spirit:value>
246           </spirit:parameter>
247           <spirit:parameter>
248             <spirit:name>OFFSET_HIGH_PARAM</spirit:name>
249             <spirit:value spirit:id="ADDRBLOCKPARAM_VALUE.S00_AXI.S00_AXI_REG.OFFSET_HIGH_PARAM">C_S00_AXI_HIGHADDR</spirit:value>
250           </spirit:parameter>
251         </spirit:parameters>
252       </spirit:addressBlock>
253     </spirit:memoryMap>
254   </spirit:memoryMaps>
255   <spirit:model>
256     <spirit:views>
257       <spirit:view>
258         <spirit:name>xilinx_vhdlsynthesis</spirit:name>
259         <spirit:displayName>VHDL Synthesis</spirit:displayName>
260         <spirit:envIdentifier>vhdlSource:vivado.xilinx.com:synthesis</spirit:envIdentifier>
261         <spirit:language>vhdl</spirit:language>
262         <spirit:modelName>servo_led_ps2_v1_0</spirit:modelName>
263         <spirit:fileSetRef>
264           <spirit:localName>xilinx_vhdlsynthesis_view_fileset</spirit:localName>
265         </spirit:fileSetRef>
266       </spirit:view>
267       <spirit:view>
268         <spirit:name>xilinx_vhdlbehavioralsimulation</spirit:name>
269         <spirit:displayName>VHDL Simulation</spirit:displayName>
270         <spirit:envIdentifier>vhdlSource:vivado.xilinx.com:simulation</spirit:envIdentifier>
271         <spirit:language>vhdl</spirit:language>
272         <spirit:modelName>servo_led_ps2_v1_0</spirit:modelName>
273         <spirit:fileSetRef>
274           <spirit:localName>xilinx_vhdlbehavioralsimulation_view_fileset</spirit:localName>
275         </spirit:fileSetRef>
276       </spirit:view>
277       <spirit:view>
278         <spirit:name>xilinx_softwaredriver</spirit:name>
279         <spirit:displayName>Software Driver</spirit:displayName>
280         <spirit:envIdentifier>:vivado.xilinx.com:sw.driver</spirit:envIdentifier>
281         <spirit:fileSetRef>
282           <spirit:localName>xilinx_softwaredriver_view_fileset</spirit:localName>
283         </spirit:fileSetRef>
284       </spirit:view>
285       <spirit:view>
286         <spirit:name>xilinx_xpgui</spirit:name>
287         <spirit:displayName>UI Layout</spirit:displayName>
288         <spirit:envIdentifier>:vivado.xilinx.com:xgui.ui</spirit:envIdentifier>
289         <spirit:fileSetRef>
290           <spirit:localName>xilinx_xpgui_view_fileset</spirit:localName>
291         </spirit:fileSetRef>
292       </spirit:view>
293       <spirit:view>
294         <spirit:name>bd_tcl</spirit:name>
295         <spirit:displayName>Block Diagram</spirit:displayName>
296         <spirit:envIdentifier>:vivado.xilinx.com:block.diagram</spirit:envIdentifier>
297         <spirit:fileSetRef>
298           <spirit:localName>bd_tcl_view_fileset</spirit:localName>
299         </spirit:fileSetRef>
300       </spirit:view>
301     </spirit:views>
302     <spirit:ports>
303       <spirit:port>
304         <spirit:name>SERVO1</spirit:name>
305         <spirit:wire>
306           <spirit:direction>out</spirit:direction>
307           <spirit:wireTypeDefs>
308             <spirit:wireTypeDef>
309               <spirit:typeName>std_logic</spirit:typeName>
310               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
311               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
312             </spirit:wireTypeDef>
313           </spirit:wireTypeDefs>
314         </spirit:wire>
315       </spirit:port>
316       <spirit:port>
317         <spirit:name>SERVO2</spirit:name>
318         <spirit:wire>
319           <spirit:direction>out</spirit:direction>
320           <spirit:wireTypeDefs>
321             <spirit:wireTypeDef>
322               <spirit:typeName>std_logic</spirit:typeName>
323               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
324               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
325             </spirit:wireTypeDef>
326           </spirit:wireTypeDefs>
327         </spirit:wire>
328       </spirit:port>
329       <spirit:port>
330         <spirit:name>SERVO3</spirit:name>
331         <spirit:wire>
332           <spirit:direction>out</spirit:direction>
333           <spirit:wireTypeDefs>
334             <spirit:wireTypeDef>
335               <spirit:typeName>std_logic</spirit:typeName>
336               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
337               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
338             </spirit:wireTypeDef>
339           </spirit:wireTypeDefs>
340         </spirit:wire>
341       </spirit:port>
342       <spirit:port>
343         <spirit:name>SERVO4</spirit:name>
344         <spirit:wire>
345           <spirit:direction>inout</spirit:direction>
346           <spirit:wireTypeDefs>
347             <spirit:wireTypeDef>
348               <spirit:typeName>std_logic</spirit:typeName>
349               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
350               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
351             </spirit:wireTypeDef>
352           </spirit:wireTypeDefs>
353         </spirit:wire>
354       </spirit:port>
355       <spirit:port>
356         <spirit:name>s00_axi_awaddr</spirit:name>
357         <spirit:wire>
358           <spirit:direction>in</spirit:direction>
359           <spirit:vector>
360             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH&apos;)) - 1)">4</spirit:left>
361             <spirit:right spirit:format="long">0</spirit:right>
362           </spirit:vector>
363           <spirit:wireTypeDefs>
364             <spirit:wireTypeDef>
365               <spirit:typeName>std_logic_vector</spirit:typeName>
366               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
367               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
368             </spirit:wireTypeDef>
369           </spirit:wireTypeDefs>
370         </spirit:wire>
371       </spirit:port>
372       <spirit:port>
373         <spirit:name>s00_axi_awprot</spirit:name>
374         <spirit:wire>
375           <spirit:direction>in</spirit:direction>
376           <spirit:vector>
377             <spirit:left spirit:format="long">2</spirit:left>
378             <spirit:right spirit:format="long">0</spirit:right>
379           </spirit:vector>
380           <spirit:wireTypeDefs>
381             <spirit:wireTypeDef>
382               <spirit:typeName>std_logic_vector</spirit:typeName>
383               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
384               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
385             </spirit:wireTypeDef>
386           </spirit:wireTypeDefs>
387         </spirit:wire>
388       </spirit:port>
389       <spirit:port>
390         <spirit:name>s00_axi_awvalid</spirit:name>
391         <spirit:wire>
392           <spirit:direction>in</spirit:direction>
393           <spirit:wireTypeDefs>
394             <spirit:wireTypeDef>
395               <spirit:typeName>std_logic</spirit:typeName>
396               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
397               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
398             </spirit:wireTypeDef>
399           </spirit:wireTypeDefs>
400         </spirit:wire>
401       </spirit:port>
402       <spirit:port>
403         <spirit:name>s00_axi_awready</spirit:name>
404         <spirit:wire>
405           <spirit:direction>out</spirit:direction>
406           <spirit:wireTypeDefs>
407             <spirit:wireTypeDef>
408               <spirit:typeName>std_logic</spirit:typeName>
409               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
410               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
411             </spirit:wireTypeDef>
412           </spirit:wireTypeDefs>
413         </spirit:wire>
414       </spirit:port>
415       <spirit:port>
416         <spirit:name>s00_axi_wdata</spirit:name>
417         <spirit:wire>
418           <spirit:direction>in</spirit:direction>
419           <spirit:vector>
420             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH&apos;)) - 1)">31</spirit:left>
421             <spirit:right spirit:format="long">0</spirit:right>
422           </spirit:vector>
423           <spirit:wireTypeDefs>
424             <spirit:wireTypeDef>
425               <spirit:typeName>std_logic_vector</spirit:typeName>
426               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
427               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
428             </spirit:wireTypeDef>
429           </spirit:wireTypeDefs>
430         </spirit:wire>
431       </spirit:port>
432       <spirit:port>
433         <spirit:name>s00_axi_wstrb</spirit:name>
434         <spirit:wire>
435           <spirit:direction>in</spirit:direction>
436           <spirit:vector>
437             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id(&apos;MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH&apos;)) / 8) - 1)">3</spirit:left>
438             <spirit:right spirit:format="long">0</spirit:right>
439           </spirit:vector>
440           <spirit:wireTypeDefs>
441             <spirit:wireTypeDef>
442               <spirit:typeName>std_logic_vector</spirit:typeName>
443               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
444               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
445             </spirit:wireTypeDef>
446           </spirit:wireTypeDefs>
447         </spirit:wire>
448       </spirit:port>
449       <spirit:port>
450         <spirit:name>s00_axi_wvalid</spirit:name>
451         <spirit:wire>
452           <spirit:direction>in</spirit:direction>
453           <spirit:wireTypeDefs>
454             <spirit:wireTypeDef>
455               <spirit:typeName>std_logic</spirit:typeName>
456               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
457               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
458             </spirit:wireTypeDef>
459           </spirit:wireTypeDefs>
460         </spirit:wire>
461       </spirit:port>
462       <spirit:port>
463         <spirit:name>s00_axi_wready</spirit:name>
464         <spirit:wire>
465           <spirit:direction>out</spirit:direction>
466           <spirit:wireTypeDefs>
467             <spirit:wireTypeDef>
468               <spirit:typeName>std_logic</spirit:typeName>
469               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
470               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
471             </spirit:wireTypeDef>
472           </spirit:wireTypeDefs>
473         </spirit:wire>
474       </spirit:port>
475       <spirit:port>
476         <spirit:name>s00_axi_bresp</spirit:name>
477         <spirit:wire>
478           <spirit:direction>out</spirit:direction>
479           <spirit:vector>
480             <spirit:left spirit:format="long">1</spirit:left>
481             <spirit:right spirit:format="long">0</spirit:right>
482           </spirit:vector>
483           <spirit:wireTypeDefs>
484             <spirit:wireTypeDef>
485               <spirit:typeName>std_logic_vector</spirit:typeName>
486               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
487               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
488             </spirit:wireTypeDef>
489           </spirit:wireTypeDefs>
490         </spirit:wire>
491       </spirit:port>
492       <spirit:port>
493         <spirit:name>s00_axi_bvalid</spirit:name>
494         <spirit:wire>
495           <spirit:direction>out</spirit:direction>
496           <spirit:wireTypeDefs>
497             <spirit:wireTypeDef>
498               <spirit:typeName>std_logic</spirit:typeName>
499               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
500               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
501             </spirit:wireTypeDef>
502           </spirit:wireTypeDefs>
503         </spirit:wire>
504       </spirit:port>
505       <spirit:port>
506         <spirit:name>s00_axi_bready</spirit:name>
507         <spirit:wire>
508           <spirit:direction>in</spirit:direction>
509           <spirit:wireTypeDefs>
510             <spirit:wireTypeDef>
511               <spirit:typeName>std_logic</spirit:typeName>
512               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
513               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
514             </spirit:wireTypeDef>
515           </spirit:wireTypeDefs>
516         </spirit:wire>
517       </spirit:port>
518       <spirit:port>
519         <spirit:name>s00_axi_araddr</spirit:name>
520         <spirit:wire>
521           <spirit:direction>in</spirit:direction>
522           <spirit:vector>
523             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH&apos;)) - 1)">4</spirit:left>
524             <spirit:right spirit:format="long">0</spirit:right>
525           </spirit:vector>
526           <spirit:wireTypeDefs>
527             <spirit:wireTypeDef>
528               <spirit:typeName>std_logic_vector</spirit:typeName>
529               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
530               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
531             </spirit:wireTypeDef>
532           </spirit:wireTypeDefs>
533         </spirit:wire>
534       </spirit:port>
535       <spirit:port>
536         <spirit:name>s00_axi_arprot</spirit:name>
537         <spirit:wire>
538           <spirit:direction>in</spirit:direction>
539           <spirit:vector>
540             <spirit:left spirit:format="long">2</spirit:left>
541             <spirit:right spirit:format="long">0</spirit:right>
542           </spirit:vector>
543           <spirit:wireTypeDefs>
544             <spirit:wireTypeDef>
545               <spirit:typeName>std_logic_vector</spirit:typeName>
546               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
547               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
548             </spirit:wireTypeDef>
549           </spirit:wireTypeDefs>
550         </spirit:wire>
551       </spirit:port>
552       <spirit:port>
553         <spirit:name>s00_axi_arvalid</spirit:name>
554         <spirit:wire>
555           <spirit:direction>in</spirit:direction>
556           <spirit:wireTypeDefs>
557             <spirit:wireTypeDef>
558               <spirit:typeName>std_logic</spirit:typeName>
559               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
560               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
561             </spirit:wireTypeDef>
562           </spirit:wireTypeDefs>
563         </spirit:wire>
564       </spirit:port>
565       <spirit:port>
566         <spirit:name>s00_axi_arready</spirit:name>
567         <spirit:wire>
568           <spirit:direction>out</spirit:direction>
569           <spirit:wireTypeDefs>
570             <spirit:wireTypeDef>
571               <spirit:typeName>std_logic</spirit:typeName>
572               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
573               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
574             </spirit:wireTypeDef>
575           </spirit:wireTypeDefs>
576         </spirit:wire>
577       </spirit:port>
578       <spirit:port>
579         <spirit:name>s00_axi_rdata</spirit:name>
580         <spirit:wire>
581           <spirit:direction>out</spirit:direction>
582           <spirit:vector>
583             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH&apos;)) - 1)">31</spirit:left>
584             <spirit:right spirit:format="long">0</spirit:right>
585           </spirit:vector>
586           <spirit:wireTypeDefs>
587             <spirit:wireTypeDef>
588               <spirit:typeName>std_logic_vector</spirit:typeName>
589               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
590               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
591             </spirit:wireTypeDef>
592           </spirit:wireTypeDefs>
593         </spirit:wire>
594       </spirit:port>
595       <spirit:port>
596         <spirit:name>s00_axi_rresp</spirit:name>
597         <spirit:wire>
598           <spirit:direction>out</spirit:direction>
599           <spirit:vector>
600             <spirit:left spirit:format="long">1</spirit:left>
601             <spirit:right spirit:format="long">0</spirit:right>
602           </spirit:vector>
603           <spirit:wireTypeDefs>
604             <spirit:wireTypeDef>
605               <spirit:typeName>std_logic_vector</spirit:typeName>
606               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
607               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
608             </spirit:wireTypeDef>
609           </spirit:wireTypeDefs>
610         </spirit:wire>
611       </spirit:port>
612       <spirit:port>
613         <spirit:name>s00_axi_rvalid</spirit:name>
614         <spirit:wire>
615           <spirit:direction>out</spirit:direction>
616           <spirit:wireTypeDefs>
617             <spirit:wireTypeDef>
618               <spirit:typeName>std_logic</spirit:typeName>
619               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
620               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
621             </spirit:wireTypeDef>
622           </spirit:wireTypeDefs>
623         </spirit:wire>
624       </spirit:port>
625       <spirit:port>
626         <spirit:name>s00_axi_rready</spirit:name>
627         <spirit:wire>
628           <spirit:direction>in</spirit:direction>
629           <spirit:wireTypeDefs>
630             <spirit:wireTypeDef>
631               <spirit:typeName>std_logic</spirit:typeName>
632               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
633               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
634             </spirit:wireTypeDef>
635           </spirit:wireTypeDefs>
636         </spirit:wire>
637       </spirit:port>
638       <spirit:port>
639         <spirit:name>s00_axi_aclk</spirit:name>
640         <spirit:wire>
641           <spirit:direction>in</spirit:direction>
642           <spirit:wireTypeDefs>
643             <spirit:wireTypeDef>
644               <spirit:typeName>std_logic</spirit:typeName>
645               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
646               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
647             </spirit:wireTypeDef>
648           </spirit:wireTypeDefs>
649         </spirit:wire>
650       </spirit:port>
651       <spirit:port>
652         <spirit:name>s00_axi_aresetn</spirit:name>
653         <spirit:wire>
654           <spirit:direction>in</spirit:direction>
655           <spirit:wireTypeDefs>
656             <spirit:wireTypeDef>
657               <spirit:typeName>std_logic</spirit:typeName>
658               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
659               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
660             </spirit:wireTypeDef>
661           </spirit:wireTypeDefs>
662         </spirit:wire>
663       </spirit:port>
664     </spirit:ports>
665     <spirit:modelParameters>
666       <spirit:modelParameter xsi:type="spirit:nameValueTypeType" spirit:dataType="integer">
667         <spirit:name>C_S00_AXI_DATA_WIDTH</spirit:name>
668         <spirit:displayName>C S00 AXI DATA WIDTH</spirit:displayName>
669         <spirit:description>Width of S_AXI data bus</spirit:description>
670         <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH" spirit:order="3" spirit:rangeType="long">32</spirit:value>
671       </spirit:modelParameter>
672       <spirit:modelParameter spirit:dataType="integer">
673         <spirit:name>C_S00_AXI_ADDR_WIDTH</spirit:name>
674         <spirit:displayName>C S00 AXI ADDR WIDTH</spirit:displayName>
675         <spirit:description>Width of S_AXI address bus</spirit:description>
676         <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH" spirit:order="4" spirit:rangeType="long">5</spirit:value>
677       </spirit:modelParameter>
678     </spirit:modelParameters>
679   </spirit:model>
680   <spirit:choices>
681     <spirit:choice>
682       <spirit:name>choice_list_6fc15197</spirit:name>
683       <spirit:enumeration>32</spirit:enumeration>
684     </spirit:choice>
685     <spirit:choice>
686       <spirit:name>choice_pairs_ce1226b1</spirit:name>
687       <spirit:enumeration spirit:text="true">1</spirit:enumeration>
688       <spirit:enumeration spirit:text="false">0</spirit:enumeration>
689     </spirit:choice>
690   </spirit:choices>
691   <spirit:fileSets>
692     <spirit:fileSet>
693       <spirit:name>xilinx_vhdlsynthesis_view_fileset</spirit:name>
694       <spirit:file>
695         <spirit:name>hdl/servo_led_ps2_v1_0_S00_AXI.vhd</spirit:name>
696         <spirit:fileType>vhdlSource</spirit:fileType>
697       </spirit:file>
698       <spirit:file>
699         <spirit:name>hdl/servo_led_ps2_v1_0.vhd</spirit:name>
700         <spirit:fileType>vhdlSource</spirit:fileType>
701         <spirit:userFileType>CHECKSUM_71876159</spirit:userFileType>
702       </spirit:file>
703     </spirit:fileSet>
704     <spirit:fileSet>
705       <spirit:name>xilinx_vhdlbehavioralsimulation_view_fileset</spirit:name>
706       <spirit:file>
707         <spirit:name>hdl/servo_led_ps2_v1_0_S00_AXI.vhd</spirit:name>
708         <spirit:fileType>vhdlSource</spirit:fileType>
709       </spirit:file>
710       <spirit:file>
711         <spirit:name>hdl/servo_led_ps2_v1_0.vhd</spirit:name>
712         <spirit:fileType>vhdlSource</spirit:fileType>
713       </spirit:file>
714     </spirit:fileSet>
715     <spirit:fileSet>
716       <spirit:name>xilinx_softwaredriver_view_fileset</spirit:name>
717       <spirit:file>
718         <spirit:name>drivers/servo_led_ps2_v1_0/data/servo_led_ps2.mdd</spirit:name>
719         <spirit:userFileType>mdd</spirit:userFileType>
720         <spirit:userFileType>driver_mdd</spirit:userFileType>
721       </spirit:file>
722       <spirit:file>
723         <spirit:name>drivers/servo_led_ps2_v1_0/data/servo_led_ps2.tcl</spirit:name>
724         <spirit:fileType>tclSource</spirit:fileType>
725         <spirit:userFileType>driver_tcl</spirit:userFileType>
726       </spirit:file>
727       <spirit:file>
728         <spirit:name>drivers/servo_led_ps2_v1_0/src/Makefile</spirit:name>
729         <spirit:userFileType>driver_src</spirit:userFileType>
730       </spirit:file>
731       <spirit:file>
732         <spirit:name>drivers/servo_led_ps2_v1_0/src/servo_led_ps2.h</spirit:name>
733         <spirit:fileType>cSource</spirit:fileType>
734         <spirit:userFileType>driver_src</spirit:userFileType>
735       </spirit:file>
736       <spirit:file>
737         <spirit:name>drivers/servo_led_ps2_v1_0/src/servo_led_ps2.c</spirit:name>
738         <spirit:fileType>cSource</spirit:fileType>
739         <spirit:userFileType>driver_src</spirit:userFileType>
740       </spirit:file>
741       <spirit:file>
742         <spirit:name>drivers/servo_led_ps2_v1_0/src/servo_led_ps2_selftest.c</spirit:name>
743         <spirit:fileType>cSource</spirit:fileType>
744         <spirit:userFileType>driver_src</spirit:userFileType>
745       </spirit:file>
746     </spirit:fileSet>
747     <spirit:fileSet>
748       <spirit:name>xilinx_xpgui_view_fileset</spirit:name>
749       <spirit:file>
750         <spirit:name>xgui/servo_led_ps2_v1_0.tcl</spirit:name>
751         <spirit:fileType>tclSource</spirit:fileType>
752         <spirit:userFileType>CHECKSUM_fd592ead</spirit:userFileType>
753         <spirit:userFileType>XGUI_VERSION_2</spirit:userFileType>
754       </spirit:file>
755     </spirit:fileSet>
756     <spirit:fileSet>
757       <spirit:name>bd_tcl_view_fileset</spirit:name>
758       <spirit:file>
759         <spirit:name>bd/bd.tcl</spirit:name>
760         <spirit:fileType>tclSource</spirit:fileType>
761       </spirit:file>
762     </spirit:fileSet>
763   </spirit:fileSets>
764   <spirit:description>Four pulse controlled servos block with LED and PS2 alternatives</spirit:description>
765   <spirit:parameters>
766     <spirit:parameter>
767       <spirit:name>C_S00_AXI_DATA_WIDTH</spirit:name>
768       <spirit:displayName>C S00 AXI DATA WIDTH</spirit:displayName>
769       <spirit:description>Width of S_AXI data bus</spirit:description>
770       <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S00_AXI_DATA_WIDTH" spirit:choiceRef="choice_list_6fc15197" spirit:order="3">32</spirit:value>
771       <spirit:vendorExtensions>
772         <xilinx:parameterInfo>
773           <xilinx:enablement>
774             <xilinx:isEnabled xilinx:id="PARAM_ENABLEMENT.C_S00_AXI_DATA_WIDTH">false</xilinx:isEnabled>
775           </xilinx:enablement>
776         </xilinx:parameterInfo>
777       </spirit:vendorExtensions>
778     </spirit:parameter>
779     <spirit:parameter>
780       <spirit:name>C_S00_AXI_ADDR_WIDTH</spirit:name>
781       <spirit:displayName>C S00 AXI ADDR WIDTH</spirit:displayName>
782       <spirit:description>Width of S_AXI address bus</spirit:description>
783       <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S00_AXI_ADDR_WIDTH" spirit:order="4" spirit:rangeType="long">5</spirit:value>
784       <spirit:vendorExtensions>
785         <xilinx:parameterInfo>
786           <xilinx:enablement>
787             <xilinx:isEnabled xilinx:id="PARAM_ENABLEMENT.C_S00_AXI_ADDR_WIDTH">false</xilinx:isEnabled>
788           </xilinx:enablement>
789         </xilinx:parameterInfo>
790       </spirit:vendorExtensions>
791     </spirit:parameter>
792     <spirit:parameter>
793       <spirit:name>C_S00_AXI_BASEADDR</spirit:name>
794       <spirit:displayName>C S00 AXI BASEADDR</spirit:displayName>
795       <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S00_AXI_BASEADDR" spirit:order="5" spirit:bitStringLength="32">0xFFFFFFFF</spirit:value>
796       <spirit:vendorExtensions>
797         <xilinx:parameterInfo>
798           <xilinx:enablement>
799             <xilinx:isEnabled xilinx:id="PARAM_ENABLEMENT.C_S00_AXI_BASEADDR">false</xilinx:isEnabled>
800           </xilinx:enablement>
801         </xilinx:parameterInfo>
802       </spirit:vendorExtensions>
803     </spirit:parameter>
804     <spirit:parameter>
805       <spirit:name>C_S00_AXI_HIGHADDR</spirit:name>
806       <spirit:displayName>C S00 AXI HIGHADDR</spirit:displayName>
807       <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S00_AXI_HIGHADDR" spirit:order="6" spirit:bitStringLength="32">0x00000000</spirit:value>
808       <spirit:vendorExtensions>
809         <xilinx:parameterInfo>
810           <xilinx:enablement>
811             <xilinx:isEnabled xilinx:id="PARAM_ENABLEMENT.C_S00_AXI_HIGHADDR">false</xilinx:isEnabled>
812           </xilinx:enablement>
813         </xilinx:parameterInfo>
814       </spirit:vendorExtensions>
815     </spirit:parameter>
816     <spirit:parameter>
817       <spirit:name>Component_Name</spirit:name>
818       <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.Component_Name" spirit:order="1">servo_led_ps2_v1_0</spirit:value>
819     </spirit:parameter>
820   </spirit:parameters>
821   <spirit:vendorExtensions>
822     <xilinx:coreExtensions>
823       <xilinx:supportedFamilies>
824         <xilinx:family xilinx:lifeCycle="Pre-Production">zynq</xilinx:family>
825       </xilinx:supportedFamilies>
826       <xilinx:taxonomies>
827         <xilinx:taxonomy>AXI_Peripheral</xilinx:taxonomy>
828       </xilinx:taxonomies>
829       <xilinx:displayName>servo_led_ps2_v1.0</xilinx:displayName>
830       <xilinx:coreRevision>1</xilinx:coreRevision>
831       <xilinx:coreCreationDateTime>2017-01-23T13:46:18Z</xilinx:coreCreationDateTime>
832       <xilinx:tags>
833         <xilinx:tag xilinx:name="user.org:user:servo_led_ps2:1.0_ARCHIVE_LOCATION">/home/pi/fpga/zynq/canbech-sw/system/ip/servo_led_ps2_1.0</xilinx:tag>
834       </xilinx:tags>
835     </xilinx:coreExtensions>
836     <xilinx:packagingInfo>
837       <xilinx:xilinxVersion>2016.1</xilinx:xilinxVersion>
838     </xilinx:packagingInfo>
839   </spirit:vendorExtensions>
840 </spirit:component>