From 87d07bcf7cedbfe9633a7c3b3e129a3bee8e6968 Mon Sep 17 00:00:00 2001 From: Pavel Pisa Date: Fri, 1 May 2015 16:53:31 +0200 Subject: [PATCH] Rename PMSM control design top level component to match VHDL file name and function. Signed-off-by: Pavel Pisa --- pmsm-control/rpi_pmsm_control.vhdl | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/pmsm-control/rpi_pmsm_control.vhdl b/pmsm-control/rpi_pmsm_control.vhdl index 4db7abf..4829beb 100644 --- a/pmsm-control/rpi_pmsm_control.vhdl +++ b/pmsm-control/rpi_pmsm_control.vhdl @@ -15,7 +15,7 @@ use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.util.all; -entity rpi_mc_simple_dc is +entity rpi_pmsm_control is generic( pwm_width : natural:=11 ); @@ -85,10 +85,10 @@ port ( -- Unused terminal to keep design tools silent dummy_unused : out std_logic ); -end rpi_mc_simple_dc; +end rpi_pmsm_control; -architecture behavioral of rpi_mc_simple_dc is +architecture behavioral of rpi_pmsm_control is attribute syn_noprune :boolean; attribute syn_preserve :boolean; attribute syn_keep :boolean; -- 2.39.2