From 481f5626a24a00aa17b6ce793d17d6124d607d5a Mon Sep 17 00:00:00 2001 From: Martin Prudek Date: Sat, 21 Mar 2015 12:10:06 +0100 Subject: [PATCH] testovani nahravani vhdl. Zmena logicke hodnoty na pinu 7 na HIGH --- pmsm-control/rpi_mc_simple_dc.vhdl | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/pmsm-control/rpi_mc_simple_dc.vhdl b/pmsm-control/rpi_mc_simple_dc.vhdl index 3eac4f6..1198651 100644 --- a/pmsm-control/rpi_mc_simple_dc.vhdl +++ b/pmsm-control/rpi_mc_simple_dc.vhdl @@ -65,7 +65,7 @@ entity rpi_mc_simple_dc is adc_scs: in std_logic; -- Extarnal SPI ext_miso: in std_logic; --master in slave out - ext_mosi: in std_logic; + ext_mosi: in std_logic; --master out slave in ext_sclk: in std_logic; ext_scs0: in std_logic; ext_scs1: in std_logic; @@ -144,7 +144,7 @@ begin gpio23 <= irc_a; gpio24 <= irc_a; - gpio7 <= irc_b; + gpio7 <= '1'; gpio8 <= irc_b; pwm_in <= gpio18; -- 2.39.2