From 2a0a1c536035442e618eab3da6a290f7c6f77b81 Mon Sep 17 00:00:00 2001 From: Martin Prudek Date: Sun, 4 Oct 2015 16:07:24 +0200 Subject: [PATCH 1/1] FIX: dff3.vhdl added to syn.tcl. --- pmsm-control/syn.tcl | 1 + 1 file changed, 1 insertion(+) diff --git a/pmsm-control/syn.tcl b/pmsm-control/syn.tcl index 9ac0ef9..c600086 100644 --- a/pmsm-control/syn.tcl +++ b/pmsm-control/syn.tcl @@ -10,6 +10,7 @@ add_file dff.vhdl add_file mcpwm.vhdl add_file cnt_div.vhdl add_file adc_reader.vhdl +add_file dff3.vhdl # top-level add_file rpi_pmsm_control.vhdl -- 2.39.2