From: Pavel Pisa Date: Fri, 1 May 2015 14:53:31 +0000 (+0200) Subject: Rename PMSM control design top level component to match VHDL file name and function. X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/commitdiff_plain/87d07bcf7cedbfe9633a7c3b3e129a3bee8e6968?hp=20d2ad19b7050e37e1ebdb9f13c7027eabd151a2 Rename PMSM control design top level component to match VHDL file name and function. Signed-off-by: Pavel Pisa --- diff --git a/pmsm-control/rpi_pmsm_control.vhdl b/pmsm-control/rpi_pmsm_control.vhdl index 4db7abf..4829beb 100644 --- a/pmsm-control/rpi_pmsm_control.vhdl +++ b/pmsm-control/rpi_pmsm_control.vhdl @@ -15,7 +15,7 @@ use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.util.all; -entity rpi_mc_simple_dc is +entity rpi_pmsm_control is generic( pwm_width : natural:=11 ); @@ -85,10 +85,10 @@ port ( -- Unused terminal to keep design tools silent dummy_unused : out std_logic ); -end rpi_mc_simple_dc; +end rpi_pmsm_control; -architecture behavioral of rpi_mc_simple_dc is +architecture behavioral of rpi_pmsm_control is attribute syn_noprune :boolean; attribute syn_preserve :boolean; attribute syn_keep :boolean;