From: Pavel Pisa Date: Fri, 1 May 2015 17:01:36 +0000 (+0200) Subject: Change license to LGPL and GPLv3+ options, fill authors according their real work... X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/commitdiff_plain/376e0d0c5e8a7ce2739c2aba7793b9f9eeff307f Change license to LGPL and GPLv3+ options, fill authors according their real work on the project. Signed-off-by: Pavel Pisa --- diff --git a/pmsm-control/adc_reader.vhdl b/pmsm-control/adc_reader.vhdl index cda8cc7..d254d46 100644 --- a/pmsm-control/adc_reader.vhdl +++ b/pmsm-control/adc_reader.vhdl @@ -1,4 +1,18 @@ - +-- +-- * Raspberry Pi BLDC/PMSM motor control design for RPi-MC-1 board * +-- SPI connected multichannel current ADC read and averaging +-- +-- (c) 2015 Martin Prudek +-- Czech Technical University in Prague +-- +-- Project supervision and original project idea +-- idea by Pavel Pisa +-- +-- Related RPi-MC-1 hardware is designed by Petr Porazil, +-- PiKRON Ltd +-- +-- license: GNU LGPL and GPLv3+ +-- library ieee; use ieee.std_logic_1164.all; diff --git a/pmsm-control/mcpwm.vhdl b/pmsm-control/mcpwm.vhdl index f484cb0..eb83997 100644 --- a/pmsm-control/mcpwm.vhdl +++ b/pmsm-control/mcpwm.vhdl @@ -3,11 +3,11 @@ -- PWM controller with failsafe input -- -- part of LXPWR motion control board (c) PiKRON Ltd --- idea by Pavel Pisa PiKRON Ltd --- code by Marek Peca +-- idea by Pavel Pisa PiKRON Ltd +-- code by Marek Peca -- 01/2013 -- --- license: GNU GPLv3 +-- license: GNU LGPL and GPLv3+ -- library ieee; diff --git a/pmsm-control/qcounter.vhdl b/pmsm-control/qcounter.vhdl index b6c34f8..92d4963 100644 --- a/pmsm-control/qcounter.vhdl +++ b/pmsm-control/qcounter.vhdl @@ -1,3 +1,11 @@ +-- +-- * Quadrature Signal Decoder * +-- Used for IRC sensor interfacing +-- +-- (c) 2010 Marek Peca +-- +-- license: GNU LGPL and GPLv3+ +-- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; diff --git a/pmsm-control/rpi_pmsm_control.vhdl b/pmsm-control/rpi_pmsm_control.vhdl index 3f86194..a74bc2b 100644 --- a/pmsm-control/rpi_pmsm_control.vhdl +++ b/pmsm-control/rpi_pmsm_control.vhdl @@ -1,13 +1,22 @@ -- --- * LXPWR slave part * --- common sioreg & common counter for several ADC&PWM blocks +-- * Raspberry Pi BLDC/PMSM motor control design for RPi-MC-1 board * +-- The toplevel component file -- --- part of LXPWR motion control board (c) PiKRON Ltd --- idea by Pavel Pisa PiKRON Ltd --- code by Marek Peca --- 01/2013 +-- (c) 2015 Martin Prudek +-- Czech Technical University in Prague -- --- license: GNU GPLv3 +-- Project supervision and original project idea +-- idea by Pavel Pisa +-- +-- Related RPi-MC-1 hardware is designed by Petr Porazil, +-- PiKRON Ltd +-- +-- VHDL design reuses some components and concepts from +-- LXPWR motion power stage board and LX_RoCoN system +-- developed at PiKRON Ltd with base code implemented +-- by Marek Peca +-- +-- license: GNU LGPL and GPLv3+ -- library ieee;