From: Pavel Pisa Date: Fri, 1 May 2015 22:49:42 +0000 (+0200) Subject: Correct RPi-MI-1 board name to match PCB text. X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/commitdiff_plain/2b61616a5aa4846669ae9c83f5f90a71d6ef26ed Correct RPi-MI-1 board name to match PCB text. Signed-off-by: Pavel Pisa --- diff --git a/pmsm-control/adc_reader.vhdl b/pmsm-control/adc_reader.vhdl index d254d46..8f2f2c5 100644 --- a/pmsm-control/adc_reader.vhdl +++ b/pmsm-control/adc_reader.vhdl @@ -1,5 +1,5 @@ -- --- * Raspberry Pi BLDC/PMSM motor control design for RPi-MC-1 board * +-- * Raspberry Pi BLDC/PMSM motor control design for RPi-MI-1 board * -- SPI connected multichannel current ADC read and averaging -- -- (c) 2015 Martin Prudek @@ -8,7 +8,7 @@ -- Project supervision and original project idea -- idea by Pavel Pisa -- --- Related RPi-MC-1 hardware is designed by Petr Porazil, +-- Related RPi-MI-1 hardware is designed by Petr Porazil, -- PiKRON Ltd -- -- license: GNU LGPL and GPLv3+ diff --git a/pmsm-control/rpi_pmsm_control.vhdl b/pmsm-control/rpi_pmsm_control.vhdl index a74bc2b..749c585 100644 --- a/pmsm-control/rpi_pmsm_control.vhdl +++ b/pmsm-control/rpi_pmsm_control.vhdl @@ -1,5 +1,5 @@ -- --- * Raspberry Pi BLDC/PMSM motor control design for RPi-MC-1 board * +-- * Raspberry Pi BLDC/PMSM motor control design for RPi-MI-1 board * -- The toplevel component file -- -- (c) 2015 Martin Prudek @@ -8,7 +8,7 @@ -- Project supervision and original project idea -- idea by Pavel Pisa -- --- Related RPi-MC-1 hardware is designed by Petr Porazil, +-- Related RPi-MI-1 hardware is designed by Petr Porazil, -- PiKRON Ltd -- -- VHDL design reuses some components and concepts from