]> rtime.felk.cvut.cz Git - fpga/rpi-motor-control.git/commitdiff
Rename PMSM control design top level component to match VHDL file name and function.
authorPavel Pisa <ppisa@pikron.com>
Fri, 1 May 2015 14:53:31 +0000 (16:53 +0200)
committerPavel Pisa <ppisa@pikron.com>
Fri, 1 May 2015 14:53:31 +0000 (16:53 +0200)
Signed-off-by: Pavel Pisa <ppisa@pikron.com>
pmsm-control/rpi_pmsm_control.vhdl

index 4db7abf7b1104c896771e7194ef7473bbf47346c..4829bebb6b40e8ec6ba7a1b14754352d528de7c1 100644 (file)
@@ -15,7 +15,7 @@ use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
 use work.util.all;
 
-entity rpi_mc_simple_dc is
+entity rpi_pmsm_control is
 generic(
        pwm_width : natural:=11
        );
@@ -85,10 +85,10 @@ port (
        -- Unused terminal to keep design tools silent
        dummy_unused : out std_logic
 );
-end rpi_mc_simple_dc;
+end rpi_pmsm_control;
 
 
-architecture behavioral of rpi_mc_simple_dc is
+architecture behavioral of rpi_pmsm_control is
        attribute syn_noprune :boolean;
        attribute syn_preserve :boolean;
        attribute syn_keep :boolean;