]> rtime.felk.cvut.cz Git - fpga/rpi-motor-control.git/commitdiff
Change license to LGPL and GPLv3+ options, fill authors according their real work...
authorPavel Pisa <ppisa@pikron.com>
Fri, 1 May 2015 17:01:36 +0000 (19:01 +0200)
committerPavel Pisa <ppisa@pikron.com>
Fri, 1 May 2015 17:01:36 +0000 (19:01 +0200)
Signed-off-by: Pavel Pisa <ppisa@pikron.com>
pmsm-control/adc_reader.vhdl
pmsm-control/mcpwm.vhdl
pmsm-control/qcounter.vhdl
pmsm-control/rpi_pmsm_control.vhdl

index cda8cc74c61e0b652e435a51f427cc36318a331e..d254d46fd1f27d66ca78c42e5a2de85defffb600 100644 (file)
@@ -1,4 +1,18 @@
-
+--
+-- * Raspberry Pi BLDC/PMSM motor control design for RPi-MC-1 board *
+-- SPI connected multichannel current ADC read and averaging
+--
+-- (c) 2015 Martin Prudek <prudemar@fel.cvut.cz>
+-- Czech Technical University in Prague
+--
+-- Project supervision and original project idea
+-- idea by Pavel Pisa <pisa@cmp.felk.cvut.cz>
+--
+-- Related RPi-MC-1 hardware is designed by Petr Porazil,
+-- PiKRON Ltd  <http://www.pikron.com>
+--
+-- license: GNU LGPL and GPLv3+
+--
 
 library ieee;
 use ieee.std_logic_1164.all;
index f484cb0310610fe6616242a0b167d372415c3680..eb83997a79868531ff873236f0f2b2b6498ee751 100644 (file)
@@ -3,11 +3,11 @@
 --  PWM controller with failsafe input
 --
 -- part of LXPWR motion control board (c) PiKRON Ltd
--- idea by Pavel Pisa PiKRON Ltd <pisa@cmp.felk.cvut.cz>
--- code by Marek Peca <mp@duch.cz>
+-- idea by Pavel Pisa PiKRON Ltd <ppisa@pikron.com>
+-- code by Marek Peca <hefaistos@gmail.com>
 -- 01/2013
 --
--- license: GNU GPLv3
+-- license: GNU LGPL and GPLv3+
 --
 
 library ieee;
index b6c34f8c00d194cca695342c03cbc5eddb9fec1b..92d4963b9e68945809d6cbb444000ffeb12b60cc 100644 (file)
@@ -1,3 +1,11 @@
+--
+-- * Quadrature Signal Decoder *
+-- Used for IRC sensor interfacing
+--
+-- (c) 2010 Marek Peca <hefaistos@gmail.com>
+--
+-- license: GNU LGPL and GPLv3+
+--
 library ieee;
 use ieee.std_logic_1164.all;
 use ieee.std_logic_arith.all;
index 3f8619423f93ac241749c098b19ee693babccc5a..a74bc2bcc34f979e1bfeb1839395d37dcc60da0d 100644 (file)
@@ -1,13 +1,22 @@
 --
--- * LXPWR slave part *
---  common sioreg & common counter for several ADC&PWM blocks
+-- * Raspberry Pi BLDC/PMSM motor control design for RPi-MC-1 board *
+-- The toplevel component file
 --
--- part of LXPWR motion control board (c) PiKRON Ltd
--- idea by Pavel Pisa PiKRON Ltd <pisa@cmp.felk.cvut.cz>
--- code by Marek Peca <mp@duch.cz>
--- 01/2013
+-- (c) 2015 Martin Prudek <prudemar@fel.cvut.cz>
+-- Czech Technical University in Prague
 --
--- license: GNU GPLv3
+-- Project supervision and original project idea
+-- idea by Pavel Pisa <pisa@cmp.felk.cvut.cz>
+--
+-- Related RPi-MC-1 hardware is designed by Petr Porazil,
+-- PiKRON Ltd  <http://www.pikron.com>
+--
+-- VHDL design reuses some components and concepts from
+-- LXPWR motion power stage board and LX_RoCoN system
+-- developed at PiKRON Ltd with base code implemented
+-- by Marek Peca <hefaistos@gmail.com>
+--
+-- license: GNU LGPL and GPLv3+
 --
 
 library ieee;