]> rtime.felk.cvut.cz Git - fpga/rpi-motor-control.git/commitdiff
Correct RPi-MI-1 board name to match PCB text.
authorPavel Pisa <ppisa@pikron.com>
Fri, 1 May 2015 22:49:42 +0000 (00:49 +0200)
committerPavel Pisa <ppisa@pikron.com>
Fri, 1 May 2015 22:49:42 +0000 (00:49 +0200)
Signed-off-by: Pavel Pisa <ppisa@pikron.com>
pmsm-control/adc_reader.vhdl
pmsm-control/rpi_pmsm_control.vhdl

index d254d46fd1f27d66ca78c42e5a2de85defffb600..8f2f2c5656ab9b2ab8717a355401d492a86aba79 100644 (file)
@@ -1,5 +1,5 @@
 --
 --
--- * Raspberry Pi BLDC/PMSM motor control design for RPi-MC-1 board *
+-- * Raspberry Pi BLDC/PMSM motor control design for RPi-MI-1 board *
 -- SPI connected multichannel current ADC read and averaging
 --
 -- (c) 2015 Martin Prudek <prudemar@fel.cvut.cz>
 -- SPI connected multichannel current ADC read and averaging
 --
 -- (c) 2015 Martin Prudek <prudemar@fel.cvut.cz>
@@ -8,7 +8,7 @@
 -- Project supervision and original project idea
 -- idea by Pavel Pisa <pisa@cmp.felk.cvut.cz>
 --
 -- Project supervision and original project idea
 -- idea by Pavel Pisa <pisa@cmp.felk.cvut.cz>
 --
--- Related RPi-MC-1 hardware is designed by Petr Porazil,
+-- Related RPi-MI-1 hardware is designed by Petr Porazil,
 -- PiKRON Ltd  <http://www.pikron.com>
 --
 -- license: GNU LGPL and GPLv3+
 -- PiKRON Ltd  <http://www.pikron.com>
 --
 -- license: GNU LGPL and GPLv3+
index a74bc2bcc34f979e1bfeb1839395d37dcc60da0d..749c585642b2b42ea452bde778a914c755a60caa 100644 (file)
@@ -1,5 +1,5 @@
 --
 --
--- * Raspberry Pi BLDC/PMSM motor control design for RPi-MC-1 board *
+-- * Raspberry Pi BLDC/PMSM motor control design for RPi-MI-1 board *
 -- The toplevel component file
 --
 -- (c) 2015 Martin Prudek <prudemar@fel.cvut.cz>
 -- The toplevel component file
 --
 -- (c) 2015 Martin Prudek <prudemar@fel.cvut.cz>
@@ -8,7 +8,7 @@
 -- Project supervision and original project idea
 -- idea by Pavel Pisa <pisa@cmp.felk.cvut.cz>
 --
 -- Project supervision and original project idea
 -- idea by Pavel Pisa <pisa@cmp.felk.cvut.cz>
 --
--- Related RPi-MC-1 hardware is designed by Petr Porazil,
+-- Related RPi-MI-1 hardware is designed by Petr Porazil,
 -- PiKRON Ltd  <http://www.pikron.com>
 --
 -- VHDL design reuses some components and concepts from
 -- PiKRON Ltd  <http://www.pikron.com>
 --
 -- VHDL design reuses some components and concepts from