- if (gpio7='1' and ce0_old = '0') then --nastupna hrana slave select
- ce0_old <= '1';
- elsif (gpio7='0' and ce0_old = '1') then --sestupna hrana SS, pripravime data pro prenos
- dat_reg(63 downto 32) <= position(31 downto 0); --pozice
- dat_reg(31 downto 0) <= (others => '0'); --zbytek zatim nuly
- ce0_old <= '0';
+
+ --sestupna hrana SS, pripravime data pro prenos-prenos zacina nebo zacatek dalsiho ramce
+ if ((ce0_old = "10") ) then
+ dat_reg(95 downto 64) <= position(31 downto 0); --pozice
+ dat_reg(63 downto 0) <= (others => '1'); --zbytek zatim nuly
+ --spiclk_old <= "00"; --bez tohoto prirazeni chodila v ~12% chybna data
+ --no falling edge conroll
+ --spi_ctrl(96 downto 1) <=(others=>'0');
+ --spi_ctrl(0)<='1';