]> rtime.felk.cvut.cz Git - fpga/rpi-motor-control.git/commit
Rename PMSM control design top level component to match VHDL file name and function.
authorPavel Pisa <ppisa@pikron.com>
Fri, 1 May 2015 14:53:31 +0000 (16:53 +0200)
committerPavel Pisa <ppisa@pikron.com>
Fri, 1 May 2015 14:53:31 +0000 (16:53 +0200)
commit87d07bcf7cedbfe9633a7c3b3e129a3bee8e6968
tree94e0b50ba314c5b620c51ddfa63c8b5f7a57a8fa
parent20d2ad19b7050e37e1ebdb9f13c7027eabd151a2
Rename PMSM control design top level component to match VHDL file name and function.

Signed-off-by: Pavel Pisa <ppisa@pikron.com>
pmsm-control/rpi_pmsm_control.vhdl