]> rtime.felk.cvut.cz Git - fpga/rpi-motor-control.git/commit
Added synchronous detection o divided clk signal to adc_reader component.
authorMartin Prudek <prudemar@fel.cvut.cz>
Sun, 3 May 2015 10:21:09 +0000 (12:21 +0200)
committerMartin Prudek <prudemar@fel.cvut.cz>
Sun, 3 May 2015 10:21:09 +0000 (12:21 +0200)
commit41ad6fb58e07390cc1807e43d0de04d670c5719e
tree5575e414fe7f9700ed5204a549f106acfda8f17a
parent0f963bbd68c35a3c550a82cc23590f46e667ebdf
Added synchronous detection o divided clk signal to adc_reader component.
pmsm-control/adc_reader.vhdl
pmsm-control/rpi_pmsm_control.vhdl