X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/blobdiff_plain/ebb2d80ba465affde8c08fc85826792183f99e06..c4cdcbe5bfaecb9024e4fe41be29a4541653b8ef:/pmsm-control/par.tcl?ds=sidebyside diff --git a/pmsm-control/par.tcl b/pmsm-control/par.tcl index 2cec468..d82da7b 100644 --- a/pmsm-control/par.tcl +++ b/pmsm-control/par.tcl @@ -1,7 +1,7 @@ # designer SCRIPT:par.tcl LOGFILE:par.log # create a new design -new_design -name "rpi_mc_simple_dc" -family "IGLOO" +new_design -name "rpi_pmsm_control" -family "IGLOO" set_device \ -die AGL125V5 \ @@ -16,7 +16,7 @@ set_device \ -voltrange COM # set default back-annotation base-name -set_defvar "BA_NAME" "rpi_mc_simple_dc_ba" +set_defvar "BA_NAME" "rpi_pmsm_control_ba" # set working directory set_defvar "DESDIR" "par0" @@ -29,7 +29,7 @@ set_defvar "BA_NETLIST_ALSO" "1" # setup status report options set_defvar "EXPORT_STATUS_REPORT" "1" -set_defvar "EXPORT_STATUS_REPORT_FILENAME" "rpi_mc_simple_dc.rpt" +set_defvar "EXPORT_STATUS_REPORT_FILENAME" "rpi_pmsm_control.rpt" # legacy audit-mode flags (left here for historical reasons) set_defvar "AUDIT_NETLIST_FILE" "1" @@ -39,7 +39,7 @@ set_defvar "AUDIT_ADL_FILE" "1" # import of input files import_source \ --format "edif" -edif_flavor "GENERIC" -netlist_naming "VHDL" "syn0/rpi_mc_simple_dc.edn" \ +-format "edif" -edif_flavor "GENERIC" -netlist_naming "VHDL" "syn0/rpi_pmsm_control.edn" \ -format "pdc" "rpi_mc_1.pdc" # export translation of original netlist @@ -62,9 +62,9 @@ compile \ -report_high_fanout_nets_limit 10 # auxiliary source files -import_aux -format "sdc" "syn0/rpi_mc_simple_dc_sdc.sdc" +import_aux -format "sdc" "syn0/rpi_pmsm_control_sdc.sdc" -save_design rpi_mc_simple_dc.adb +save_design rpi_pmsm_control.adb layout \ -timing_driven \ @@ -74,11 +74,11 @@ layout \ -route_incremental off \ -placer_high_effort off -save_design rpi_mc_simple_dc.adb +save_design rpi_pmsm_control.adb export \ -format bts_stp \ -feature prog_fpga \ - rpi_mc_simple_dc.stp + rpi_pmsm_control.stp -save_design rpi_mc_simple_dc.adb +save_design rpi_pmsm_control.adb