X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/blobdiff_plain/d80a8989ad3843f8e269620e12f56d576712d617..376e0d0c5e8a7ce2739c2aba7793b9f9eeff307f:/pmsm-control/qcounter.vhdl diff --git a/pmsm-control/qcounter.vhdl b/pmsm-control/qcounter.vhdl index b6c34f8..92d4963 100644 --- a/pmsm-control/qcounter.vhdl +++ b/pmsm-control/qcounter.vhdl @@ -1,3 +1,11 @@ +-- +-- * Quadrature Signal Decoder * +-- Used for IRC sensor interfacing +-- +-- (c) 2010 Marek Peca +-- +-- license: GNU LGPL and GPLv3+ +-- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all;