X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/blobdiff_plain/d80a8989ad3843f8e269620e12f56d576712d617..376e0d0c5e8a7ce2739c2aba7793b9f9eeff307f:/pmsm-control/mcpwm.vhdl diff --git a/pmsm-control/mcpwm.vhdl b/pmsm-control/mcpwm.vhdl index f484cb0..eb83997 100644 --- a/pmsm-control/mcpwm.vhdl +++ b/pmsm-control/mcpwm.vhdl @@ -3,11 +3,11 @@ -- PWM controller with failsafe input -- -- part of LXPWR motion control board (c) PiKRON Ltd --- idea by Pavel Pisa PiKRON Ltd --- code by Marek Peca +-- idea by Pavel Pisa PiKRON Ltd +-- code by Marek Peca -- 01/2013 -- --- license: GNU GPLv3 +-- license: GNU LGPL and GPLv3+ -- library ieee;