X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/blobdiff_plain/c9725d8efd262634d0ea8c5471d5b9e1b77a59cb..e9eadbd980bbd3a738745368c2e8acbe189b79b4:/pmsm-control/syn.tcl diff --git a/pmsm-control/syn.tcl b/pmsm-control/syn.tcl index 33b7f81..e8fb4cb 100644 --- a/pmsm-control/syn.tcl +++ b/pmsm-control/syn.tcl @@ -9,6 +9,7 @@ add_file qcounter.vhdl add_file dff.vhdl add_file mcpwm.vhdl add_file div8.vhdl +add_file adc_reader.vhdl # top-level add_file rpi_mc_simple_dc.vhdl