X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/blobdiff_plain/b6df166687a18bf315da7f6ceca14f1aececd053..c86aecf15746a9d1bb8ec1df8e94e716aff56a0c:/pmsm-control/rpi_mc_simple_dc.vhdl diff --git a/pmsm-control/rpi_mc_simple_dc.vhdl b/pmsm-control/rpi_mc_simple_dc.vhdl index d6a2686..d3f69bc 100644 --- a/pmsm-control/rpi_mc_simple_dc.vhdl +++ b/pmsm-control/rpi_mc_simple_dc.vhdl @@ -172,14 +172,6 @@ begin -- of SCK, MSB first dat_reg(7 downto 0) <= dat_reg(6 downto 0) & gpio10; - gpio4 <= dat_reg(7); - gpio17 <= dat_reg(6); - gpio18 <= dat_reg(5); - gpio27 <= dat_reg(4); - gpio22 <= dat_reg(3); - gpio23 <= dat_reg(2); - gpio24 <= dat_reg(1); - gpio25 <= dat_reg(0); end if; elsif (falling_edge(spi_clk)) then