X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/blobdiff_plain/b060c36616ed147804417bfbb1199b4f7d5cfa3d..c9725d8efd262634d0ea8c5471d5b9e1b77a59cb:/pmsm-control/syn.tcl diff --git a/pmsm-control/syn.tcl b/pmsm-control/syn.tcl index 7fb9702..33b7f81 100644 --- a/pmsm-control/syn.tcl +++ b/pmsm-control/syn.tcl @@ -8,6 +8,7 @@ add_file util.vhdl add_file qcounter.vhdl add_file dff.vhdl add_file mcpwm.vhdl +add_file div8.vhdl # top-level add_file rpi_mc_simple_dc.vhdl