X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/blobdiff_plain/b060c36616ed147804417bfbb1199b4f7d5cfa3d..4fb8e4937c271e7455ecdb2f2bcab67a81db3f10:/pmsm-control/syn.tcl?ds=sidebyside diff --git a/pmsm-control/syn.tcl b/pmsm-control/syn.tcl index 7fb9702..e8fb4cb 100644 --- a/pmsm-control/syn.tcl +++ b/pmsm-control/syn.tcl @@ -8,6 +8,8 @@ add_file util.vhdl add_file qcounter.vhdl add_file dff.vhdl add_file mcpwm.vhdl +add_file div8.vhdl +add_file adc_reader.vhdl # top-level add_file rpi_mc_simple_dc.vhdl