X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/blobdiff_plain/94f6f5cf6d67494a91241a6a7491c2f3bbc81072..e9eadbd980bbd3a738745368c2e8acbe189b79b4:/pmsm-control/syn.tcl diff --git a/pmsm-control/syn.tcl b/pmsm-control/syn.tcl index 127a849..e8fb4cb 100644 --- a/pmsm-control/syn.tcl +++ b/pmsm-control/syn.tcl @@ -7,6 +7,9 @@ impl -name syn0 add_file util.vhdl add_file qcounter.vhdl add_file dff.vhdl +add_file mcpwm.vhdl +add_file div8.vhdl +add_file adc_reader.vhdl # top-level add_file rpi_mc_simple_dc.vhdl