X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/blobdiff_plain/8a2a4069d67c53018230cd152d472805a273b858..6e929536b290d817bd2473bcc158d1dab5ba1f55:/pmsm-control/syn.tcl diff --git a/pmsm-control/syn.tcl b/pmsm-control/syn.tcl index 33b7f81..e8fb4cb 100644 --- a/pmsm-control/syn.tcl +++ b/pmsm-control/syn.tcl @@ -9,6 +9,7 @@ add_file qcounter.vhdl add_file dff.vhdl add_file mcpwm.vhdl add_file div8.vhdl +add_file adc_reader.vhdl # top-level add_file rpi_mc_simple_dc.vhdl