X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/blobdiff_plain/84a4c4bb8da0db2057dababfc9500db07836edce..75ebc8a9e2cbbbdd5502693eaa8173dbe5d9194d:/pmsm-control/rpi_mc_simple_dc.vhdl diff --git a/pmsm-control/rpi_mc_simple_dc.vhdl b/pmsm-control/rpi_mc_simple_dc.vhdl index c0c7d6d..3eac4f6 100644 --- a/pmsm-control/rpi_mc_simple_dc.vhdl +++ b/pmsm-control/rpi_mc_simple_dc.vhdl @@ -64,7 +64,7 @@ entity rpi_mc_simple_dc is adc_sclk: in std_logic; adc_scs: in std_logic; -- Extarnal SPI - ext_miso: in std_logic; + ext_miso: in std_logic; --master in slave out ext_mosi: in std_logic; ext_sclk: in std_logic; ext_scs0: in std_logic;