X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/blobdiff_plain/6841e483f0b370a6ea2b41c958945d3db9285c90..1c8eb36a8a3c7e271cc07ee6d25120c950fb0160:/pmsm-control/div256.vhdl diff --git a/pmsm-control/div256.vhdl b/pmsm-control/div256.vhdl new file mode 100644 index 0000000..26be680 --- /dev/null +++ b/pmsm-control/div256.vhdl @@ -0,0 +1,32 @@ +-- provides frequency division by 256 (8 bit divider) + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use work.util.all; + +entity div256 is + +port ( + clk_in: in std_logic; + div256: out std_logic +); +end div256; + + +architecture behavioral of div256 is + signal count : std_logic_vector (8 downto 0); +begin + + div256 <= count(8); + + seq : process + begin + wait until (clk_in'event and clk_in='1'); + count <= std_logic_vector(unsigned(count) + 1); + end process; + + + +end behavioral; +