X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/blobdiff_plain/4fb8e4937c271e7455ecdb2f2bcab67a81db3f10..e9eadbd980bbd3a738745368c2e8acbe189b79b4:/pmsm-control/rpi_mc_simple_dc.vhdl diff --git a/pmsm-control/rpi_mc_simple_dc.vhdl b/pmsm-control/rpi_mc_simple_dc.vhdl index fdfe626..1e06af9 100644 --- a/pmsm-control/rpi_mc_simple_dc.vhdl +++ b/pmsm-control/rpi_mc_simple_dc.vhdl @@ -351,7 +351,7 @@ begin dat_reg(35 downto 0) <= adc_channels(35 downto 0); --current mesurments adc_reset<='0'; --remove reset flag, and wait on its rising edge elsif (ce0_old = "01") then --rising edge of SS, we should read the data - adc_reset<=dat_reg(95); + adc_reset<='1'; pwm_en_p(1 to 3)<=dat_reg(94 downto 92); pwm_en_n(1 to 3)<=dat_reg(91 downto 89); --11 bit pwm TODO: make it generic