X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/blobdiff_plain/44c23daa6e0d5d35e892b79684b239c1a0e67f25..20d2ad19b7050e37e1ebdb9f13c7027eabd151a2:/pmsm-control/syn.tcl diff --git a/pmsm-control/syn.tcl b/pmsm-control/syn.tcl index 1a3a609..19ab95a 100644 --- a/pmsm-control/syn.tcl +++ b/pmsm-control/syn.tcl @@ -8,7 +8,7 @@ add_file util.vhdl add_file qcounter.vhdl add_file dff.vhdl add_file mcpwm.vhdl -add_file div8.vhdl +add_file divider.vhdl add_file adc_reader.vhdl # top-level