X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/blobdiff_plain/34de8485a125ab8edae50d0f1406462a82b56391..refs/heads/master:/pmsm-control/syn.tcl diff --git a/pmsm-control/syn.tcl b/pmsm-control/syn.tcl index b444b9d..8052141 100644 --- a/pmsm-control/syn.tcl +++ b/pmsm-control/syn.tcl @@ -8,8 +8,11 @@ add_file util.vhdl add_file qcounter.vhdl add_file dff.vhdl add_file mcpwm.vhdl -add_file divider.vhdl +add_file cnt_div.vhdl add_file adc_reader.vhdl +add_file dff3.vhdl +add_file div128.vhdl +add_file div256.vhdl # top-level add_file rpi_pmsm_control.vhdl