X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/blobdiff_plain/3195d1e08e675b2a7a5b70c8b276eb825abf77c8..b6df166687a18bf315da7f6ceca14f1aececd053:/pmsm-control/rpi_mc_simple_dc.vhdl diff --git a/pmsm-control/rpi_mc_simple_dc.vhdl b/pmsm-control/rpi_mc_simple_dc.vhdl index d1810c6..d6a2686 100644 --- a/pmsm-control/rpi_mc_simple_dc.vhdl +++ b/pmsm-control/rpi_mc_simple_dc.vhdl @@ -14,6 +14,7 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.util.all; +use work.qcounter.all; entity rpi_mc_simple_dc is port (