X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/blobdiff_plain/2a0a1c536035442e618eab3da6a290f7c6f77b81..6841e483f0b370a6ea2b41c958945d3db9285c90:/pmsm-control/test_sw/main_pmsm.c diff --git a/pmsm-control/test_sw/main_pmsm.c b/pmsm-control/test_sw/main_pmsm.c index 6f3c670..2f4731f 100644 --- a/pmsm-control/test_sw/main_pmsm.c +++ b/pmsm-control/test_sw/main_pmsm.c @@ -149,6 +149,7 @@ void * control_loop(void* param){ }else{ /*index je v poradku*/ comIndDist(&rps); /*vypocet vzdalenosti indexu*/ } + last_index=data.index_position; /*preulozime stary index */ /* pocitame sirku plneni podle potreb rizeni*/ rps.main_controller(&rps);