X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/blobdiff_plain/2a0a1c536035442e618eab3da6a290f7c6f77b81..6841e483f0b370a6ea2b41c958945d3db9285c90:/pmsm-control/test_sw/controllers.h diff --git a/pmsm-control/test_sw/controllers.h b/pmsm-control/test_sw/controllers.h index 5b24148..e914a1d 100644 --- a/pmsm-control/test_sw/controllers.h +++ b/pmsm-control/test_sw/controllers.h @@ -18,7 +18,9 @@ /* Hodnoty upraveny podle skutecnych vysledku */ /* P=0.8 I=0.01 */ -#define PID_P_S 0.16 /*2.3 kmita*/ /*1.4 vhodne jen pro P regulator*/ +/* PID_P_S 0.16 */ + +#define PID_P_S 0.08 /*2.3 kmita*/ /*1.4 vhodne jen pro P regulator*/ #define PID_I_S 0.0134 /**