X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/blobdiff_plain/14413009e194a96bcbb16cd456a7cd9f3a3e2ca4..26498a447b1f290e3dbda2f99693014d90ec01f2:/pmsm-control/rpi_mc_simple_dc.vhdl diff --git a/pmsm-control/rpi_mc_simple_dc.vhdl b/pmsm-control/rpi_mc_simple_dc.vhdl index 6b5dd4b..93c20f9 100644 --- a/pmsm-control/rpi_mc_simple_dc.vhdl +++ b/pmsm-control/rpi_mc_simple_dc.vhdl @@ -222,7 +222,8 @@ begin --sestupna hrana SS, pripravime data pro prenos-prenos zacina nebo zacatek dalsiho ramce if ((ce0_old = "10") ) then dat_reg(95 downto 64) <= position(31 downto 0); --pozice - dat_reg(63 downto 0) <= (others => '1'); --zbytek zatim nuly + dat_reg(63 downto 61) <= hal_in(1 to 3); --halovy sondy + dat_reg(60 downto 0) <= (others => '1'); --zbytek zatim nuly end if; end process;