X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/blobdiff_plain/0fa4de71db978ac5bb0ab239218bd6c32558c502..c4cdcbe5bfaecb9024e4fe41be29a4541653b8ef:/pmsm-control/qcounter.vhdl diff --git a/pmsm-control/qcounter.vhdl b/pmsm-control/qcounter.vhdl index f1378dc..b6c34f8 100644 --- a/pmsm-control/qcounter.vhdl +++ b/pmsm-control/qcounter.vhdl @@ -3,7 +3,6 @@ use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; -use work.dff.all; entity qcounter is port ( @@ -76,7 +75,7 @@ begin end process; --prechod do 3. radu (binarne) - comb_count: process (a_prev, b_prev, a, b, count) --proc jsou v sensitivity prev stavy? + comb_count: process (a_prev, b_prev, a, b, count,count_prev) --proc jsou v sensitivity prev stavy? begin if (a_prev = '0') and (b_prev = '1') and (a = '0') and (b = '0') then --posun dopredu count <= count_prev + 1;