]> rtime.felk.cvut.cz Git - fpga/rpi-motor-control.git/blobdiff - pmsm-control/mcpwm.vhdl
Change license to LGPL and GPLv3+ options, fill authors according their real work...
[fpga/rpi-motor-control.git] / pmsm-control / mcpwm.vhdl
index f484cb0310610fe6616242a0b167d372415c3680..eb83997a79868531ff873236f0f2b2b6498ee751 100644 (file)
@@ -3,11 +3,11 @@
 --  PWM controller with failsafe input
 --
 -- part of LXPWR motion control board (c) PiKRON Ltd
--- idea by Pavel Pisa PiKRON Ltd <pisa@cmp.felk.cvut.cz>
--- code by Marek Peca <mp@duch.cz>
+-- idea by Pavel Pisa PiKRON Ltd <ppisa@pikron.com>
+-- code by Marek Peca <hefaistos@gmail.com>
 -- 01/2013
 --
--- license: GNU GPLv3
+-- license: GNU LGPL and GPLv3+
 --
 
 library ieee;