signal prepared_data: std_logic_vector(71 downto 0); --consistent data, waiting for clk sync to propagate to output
signal m_count_sig: std_logic_vector(8 downto 0); --measurments count waiting for clk to propagate to output
signal first_pass: std_logic;
- signal div_clk_prev: std_logic;
begin
reset_re:='1';
end if;
- --rising edge detection of divided clk signal
- div_clk_prev<=divided_clk;
- if (divided_clk='1') and (div_clk_prev='0') then
+ if (divided_clk='1') then --instead of divide, single puls is now detected
case state is
when reset=>