]> rtime.felk.cvut.cz Git - fpga/rpi-motor-control.git/blobdiff - pmsm-control/rpi_mc_simple_dc.vhdl
prvni commit nove vetve - komentar miso
[fpga/rpi-motor-control.git] / pmsm-control / rpi_mc_simple_dc.vhdl
index c0c7d6d7e11726dab15713abf32f9eecfc3e6b55..3eac4f6e4d2395819b8541f19b6f49972028b17a 100644 (file)
@@ -64,7 +64,7 @@ entity rpi_mc_simple_dc is
     adc_sclk: in std_logic;
     adc_scs: in std_logic;
     -- Extarnal SPI
-    ext_miso: in std_logic;
+    ext_miso: in std_logic; --master in slave out
     ext_mosi: in std_logic;
     ext_sclk: in std_logic;
     ext_scs0: in std_logic;