]> rtime.felk.cvut.cz Git - fpga/rpi-motor-control.git/blobdiff - pmsm-control/qcounter.vhdl
Tested version of ADC.
[fpga/rpi-motor-control.git] / pmsm-control / qcounter.vhdl
index f1378dce3baa4fa3a88136f12e7d915f09526262..b6c34f8c00d194cca695342c03cbc5eddb9fec1b 100644 (file)
@@ -3,7 +3,6 @@ use ieee.std_logic_1164.all;
 use ieee.std_logic_arith.all;
 use ieee.std_logic_unsigned.all;
 use ieee.numeric_std.all;
-use work.dff.all;
 
 entity qcounter is
 port (
@@ -76,7 +75,7 @@ begin
        end process;
 
        --prechod do 3. radu (binarne)
-       comb_count: process (a_prev, b_prev, a, b, count) --proc jsou v sensitivity prev stavy?
+       comb_count: process (a_prev, b_prev, a, b, count,count_prev) --proc jsou v sensitivity prev stavy?
        begin
                if (a_prev = '0') and (b_prev = '1') and (a = '0') and (b = '0') then --posun dopredu 
                        count <= count_prev + 1;