]> rtime.felk.cvut.cz Git - fpga/rpi-motor-control.git/blob - pmsm-control/rpi_mc_1.pdc
Tested version of ADC state machine. Tested only to prove that 'something works'...
[fpga/rpi-motor-control.git] / pmsm-control / rpi_mc_1.pdc
1 #
2 # rpi_mc_1 AGL125
3 #
4 # Pavel Pisa
5 # Copyright PiKRON.com 2014
6 #
7
8 #
9 # IO banks setting
10 #
11 #set_iobank Bank3 -vcci 3.30 -fixed yes
12 #set_iobank Bank2 -vcci 3.30 -fixed yes
13 set_iobank Bank1 -vcci 3.30 -fixed yes
14 set_iobank Bank0 -vcci 3.30 -fixed yes
15
16 #
17 # I/O constraints
18 #
19
20 # RPi B+ P1 connector pins
21 # type LVTTL or LVCMOS33
22
23 # SDA
24 set_io {gpio2} -iostd LVCMOS33 -pinname 20 -fixed yes
25 # SCL
26 set_io {gpio3} -iostd LVCMOS33 -pinname 19 -fixed yes
27 # CLK
28 set_io {gpio4} -iostd LVCMOS33 -pinname 13 -fixed yes
29 # Tx
30 set_io {gpio14} -iostd LVCMOS33 -pinname 8 -fixed yes
31 # Rx
32 set_io {gpio15} -iostd LVCMOS33 -pinname 7 -fixed yes
33 # RTS
34 set_io {gpio17} -iostd LVCMOS33 -pinname 5 -fixed yes
35 # PWM0/PCMCLK
36 set_io {gpio18} -iostd LVCMOS33 -pinname 4 -fixed yes
37 # SD1DAT3
38 set_io {gpio27} -iostd LVCMOS33 -pinname 3 -fixed yes
39 # SD1CLK
40 set_io {gpio22} -iostd LVCMOS33 -pinname 2 -fixed yes
41 # SD1CMD
42 set_io {gpio23} -iostd LVCMOS33 -pinname 98 -fixed yes
43 # SD1DAT0
44 set_io {gpio24} -iostd LVCMOS33 -pinname 97 -fixed yes
45 # SPI0MOSI
46 set_io {gpio10} -iostd LVCMOS33 -pinname 96 -fixed yes
47 # SPI0MISO
48 set_io {gpio9} -iostd LVCMOS33 -pinname 95 -fixed yes
49 # SD1DAT1
50 set_io {gpio25} -iostd LVCMOS33 -pinname 94 -fixed yes
51 # SPI0SCLK
52 set_io {gpio11} -iostd LVCMOS33 -pinname 93 -fixed yes
53 # SPI0CE0
54 set_io {gpio8} -iostd LVCMOS33 -pinname 92 -fixed yes
55 # SPI0CE1
56 set_io {gpio7} -iostd LVCMOS33 -pinname 91 -fixed yes
57 # GPCLK1
58 set_io {gpio5} -iostd LVCMOS33 -pinname 84 -fixed yes
59 # GPCLK2
60 set_io {gpio6} -iostd LVCMOS33 -pinname 83 -fixed yes
61 # PWM0
62 set_io {gpio12} -iostd LVCMOS33 -pinname 82 -fixed yes
63 # PWM1
64 set_io {gpio13} -iostd LVCMOS33 -pinname 81 -fixed yes
65 # PWM1/SPI1MISO/PCMFS
66 set_io {gpio19} -iostd LVCMOS33 -pinname 80 -fixed yes
67 # SPI1CE2
68 set_io {gpio16} -iostd LVCMOS33 -pinname 79 -fixed yes
69 # SD1DAT2
70 set_io {gpio26} -iostd LVCMOS33 -pinname 78 -fixed yes
71 # SPI1MOSI/PCMDIN/GPCLK0
72 set_io {gpio20} -iostd LVCMOS33 -pinname 77 -fixed yes
73 # SPI1SCLK/PCMDOUT/GPCLK1
74 set_io {gpio21} -iostd LVCMOS33 -pinname 76 -fixed yes
75
76 #
77 # PWM
78 #
79 # Each PWM signal has cooresponding shutdown
80
81 set_io {pwm[1]}  -iostd LVCMOS33 -pinname 22 -fixed yes
82 set_io {shdn[1]} -iostd LVCMOS33 -pinname 21 -fixed yes
83 set_io {pwm[2]}  -iostd LVCMOS33 -pinname 26 -fixed yes
84 set_io {shdn[2]} -iostd LVCMOS33 -pinname 23 -fixed yes
85 set_io {pwm[3]}  -iostd LVCMOS33 -pinname 28 -fixed yes
86 set_io {shdn[3]} -iostd LVCMOS33 -pinname 27 -fixed yes
87
88 # Fault/power stage status
89
90 set_io {stat[1]} -iostd LVCMOS33 -pinname 29 -fixed yes
91 set_io {stat[2]} -iostd LVCMOS33 -pinname 30 -fixed yes
92 set_io {stat[3]} -iostd LVCMOS33 -pinname 31 -fixed yes
93
94 #
95 # HAL inputs
96 #
97 set_io {hal_in[1]} -iostd LVCMOS33 -pinname 43 -fixed yes
98 set_io {hal_in[2]} -iostd LVCMOS33 -pinname 44 -fixed yes
99 set_io {hal_in[3]} -iostd LVCMOS33 -pinname 45 -fixed yes
100
101 #
102 # IRC inputs
103 #
104 set_io {irc_a} -iostd LVCMOS33 -pinname 40 -fixed yes
105 set_io {irc_b} -iostd LVCMOS33 -pinname 41 -fixed yes
106 set_io {irc_i} -iostd LVCMOS33 -pinname 42 -fixed yes
107
108 #
109 # Power status
110 #
111 set_io {power_stat} -iostd LVCMOS33 -pinname 32 -fixed yes
112
113 #
114 # ADC for current
115 #
116 set_io {adc_miso} -iostd LVCMOS33 -pinname 33 -fixed yes
117 set_io {adc_mosi} -iostd LVCMOS33 -pinname 34 -fixed yes
118 set_io {adc_sclk} -iostd LVCMOS33 -pinname 35 -fixed yes
119 set_io {adc_scs}  -iostd LVCMOS33 -pinname 36 -fixed yes
120
121 #
122 # Extarnal SPI
123 #
124 set_io {ext_miso} -iostd LVCMOS33 -pinname 62 -fixed yes
125 set_io {ext_mosi} -iostd LVCMOS33 -pinname 61 -fixed yes
126 set_io {ext_sclk} -iostd LVCMOS33 -pinname 60 -fixed yes
127 set_io {ext_scs0} -iostd LVCMOS33 -pinname 59 -fixed yes
128 set_io {ext_scs1} -iostd LVCMOS33 -pinname 58 -fixed yes
129 set_io {ext_scs2} -iostd LVCMOS33 -pinname 57 -fixed yes
130
131 #
132 # RS-485 Transceiver
133 #
134 set_io {rs485_rxd} -iostd LVCMOS33 -pinname 71 -fixed yes
135 set_io {rs485_txd} -iostd LVCMOS33 -pinname 69 -fixed yes
136 set_io {rs485_dir} -iostd LVCMOS33 -pinname 70 -fixed yes
137
138 #
139 # CAN Transceiver
140 #
141 set_io {can_rx} -iostd LVCMOS33 -pinname 72 -fixed yes
142 set_io {can_tx} -iostd LVCMOS33 -pinname 73 -fixed yes
143
144 #
145 # DIP switch
146 #
147 set_io {dip_sw[1]} -iostd LVCMOS33 -pinname 65 -fixed yes
148 set_io {dip_sw[2]} -iostd LVCMOS33 -pinname 64 -fixed yes
149 set_io {dip_sw[3]} -iostd LVCMOS33 -pinname 63 -fixed yes
150
151 # Unused terminal to keep design tools silent
152 set_io {dummy_unused} -iostd LVCMOS33 -pinname 6 -fixed yes